Skip to content
Projects
Groups
Snippets
Help
This project
Loading...
Sign in / Register
Toggle navigation
Y
yosys-tests
Overview
Overview
Details
Activity
Cycle Analytics
Repository
Repository
Files
Commits
Branches
Tags
Contributors
Graph
Compare
Charts
Issues
0
Issues
0
List
Board
Labels
Milestones
Merge Requests
0
Merge Requests
0
CI / CD
CI / CD
Pipelines
Jobs
Schedules
Charts
Wiki
Wiki
Snippets
Snippets
Members
Members
Collapse sidebar
Close sidebar
Activity
Graph
Charts
Create a new issue
Jobs
Commits
Issue Boards
Open sidebar
lvzhengyang
yosys-tests
Commits
e6e0dae3
Unverified
Commit
e6e0dae3
authored
Sep 16, 2019
by
Miodrag Milanović
Committed by
GitHub
Sep 16, 2019
Browse files
Options
Browse Files
Download
Plain Diff
Merge pull request #66 from SergeyDegtyar/SergeyDegtyar/tests_for_new_features
Fix failed tests
parents
c4dcff58
4d0182cb
Hide whitespace changes
Inline
Side-by-side
Showing
3 changed files
with
17 additions
and
11 deletions
+17
-11
architecture/ice40_wrapcarry/testbench.v
+8
-10
architecture/ice40_wrapcarry/top.v
+0
-1
frontends/scripts/read_aiger_map.ys
+9
-0
No files found.
architecture/ice40_wrapcarry/testbench.v
View file @
e6e0dae3
...
...
@@ -24,24 +24,22 @@ module testbench;
)
;
//assign poutA = in[3:0] % in[7:4];
assign
poutB
=
in
[
3
:
0
]
/
in
[
7
:
4
]
;
//check_comb mod_test(outA, poutA);
check_comb
div_test
(
outB
,
poutB
)
;
assert_comb
div2_test
(
outB
[
2
]
,
poutB
[
2
])
;
check_comb
div_test
(
in
[
7
:
4
]
,
outB
,
poutB
)
;
endmodule
module
check_comb
(
input
[
3
:
0
]
test
,
input
[
3
:
0
]
pat
)
;
module
check_comb
(
input
[
3
:
0
]
div
,
input
[
3
:
0
]
test
,
input
[
3
:
0
]
pat
)
;
always
@*
begin
#
1
;
if
(
test
!==
pat
)
begin
$
display
(
"ERROR: ASSERTION FAILED in %m:"
,
$
time
,
" "
,
test
,
" "
,
pat
)
;
$
stop
;
end
if
(
div
!=
4'b0000
)
if
(
test
!==
pat
)
begin
$
display
(
"ERROR: ASSERTION FAILED in %m:"
,
$
time
,
" "
,
test
,
" "
,
pat
)
;
$
stop
;
end
end
endmodule
architecture/ice40_wrapcarry/top.v
View file @
e6e0dae3
...
...
@@ -7,7 +7,6 @@ module top
output
[
3
:
0
]
B
)
;
//assign A = x % y;
assign
B
=
x
/
y
;
endmodule
frontends/scripts/read_aiger_map.ys
0 → 100644
View file @
e6e0dae3
read_verilog -sv ../top.v
aigmap
write_aiger aiger.aiger
design -reset
read_aiger -map aig.map aiger.aiger
design -reset
read_verilog -sv ../top.v
synth -top top
write_verilog synth.v
Write
Preview
Markdown
is supported
0%
Try again
or
attach a new file
Attach a file
Cancel
You are about to add
0
people
to the discussion. Proceed with caution.
Finish editing this message first!
Cancel
Please
register
or
sign in
to comment