Commit 8574217a by SergeyDegtyar

remove unnecessary rerun inside tests

parent f200dc81
...@@ -7,7 +7,3 @@ flatten ...@@ -7,7 +7,3 @@ flatten
opt opt
opt_rmdff opt_rmdff
expose -evert-dff expose -evert-dff
design -reset
read_verilog ../top.v
proc
...@@ -6,7 +6,3 @@ flatten ...@@ -6,7 +6,3 @@ flatten
opt opt
opt_rmdff opt_rmdff
expose -input expose -input
design -reset
read_verilog ../top.v
synth -top top
read_verilog ../top.v read_verilog ../top.v
extract -map ../top.v -cell_attr attr extract -map ../top.v -cell_attr attr
design -reset
read_verilog ../top.v
proc
read_verilog ../top.v read_verilog ../top.v
extract -map ../top.v -compat $dff a extract -map ../top.v -compat $dff a
design -reset
read_verilog ../top.v
proc
read_verilog ../top.v read_verilog ../top.v
extract -map ../top.v -constports extract -map ../top.v -constports
design -reset
read_verilog ../top.v
proc
read_verilog ../top.v read_verilog ../top.v
extract -map ../top.v -ignore_param $dff param extract -map ../top.v -ignore_param $dff param
design -reset
read_verilog ../top.v
proc
read_verilog ../top.v read_verilog ../top.v
extract -map ../top.v -ignore_parameters extract -map ../top.v -ignore_parameters
design -reset
read_verilog ../top.v
proc
read_verilog ../top.v read_verilog ../top.v
extract -map ../top.v extract -map ../top.v
design -reset
read_verilog ../top.v
proc
read_verilog ../top.v read_verilog ../top.v
design -save top_test design -save top_test
extract -map %top_test extract -map %top_test
design -reset
read_verilog ../top.v
proc
read_verilog ../top.v read_verilog ../top.v
extract -map ../top.v -nodefaultswaps extract -map ../top.v -nodefaultswaps
design -reset
read_verilog ../top.v
proc
read_verilog ../top.v read_verilog ../top.v
extract -map ../top.v -perm $dff D,CLK D,CLK extract -map ../top.v -perm $dff D,CLK D,CLK
design -reset
read_verilog ../top.v
proc
read_verilog ../top.v read_verilog ../top.v
extract -map ../top.v -swap $dff D,CLK extract -map ../top.v -swap $dff D,CLK
design -reset
read_verilog ../top.v
proc
read_verilog ../top.v read_verilog ../top.v
extract -map ../top.v -verbose extract -map ../top.v -verbose
design -reset
read_verilog ../top.v
proc
read_verilog ../top.v read_verilog ../top.v
extract -map ../top.v -wire_attr attr extract -map ../top.v -wire_attr attr
design -reset
read_verilog ../top.v
proc
read_verilog ../top.v read_verilog ../top.v
synth_greenpak4 synth_greenpak4
extract_counter extract_counter
design -reset
read_verilog ../top.v
read_verilog ../top_down.v read_verilog ../top_down.v
synth_greenpak4 synth_greenpak4
extract_counter extract_counter
design -reset
read_verilog ../top.v
read_verilog ../top.v read_verilog ../top.v
synth_greenpak4 synth_greenpak4
extract_counter -maxwidth 4 extract_counter -maxwidth 4
design -reset
read_verilog ../top.v
read_verilog ../top.v read_verilog ../top.v
synth_greenpak4 synth_greenpak4
extract_counter -pout X extract_counter -pout X
design -reset
read_verilog ../top.v
read_verilog ../top_err.v read_verilog ../top_err.v
synth_greenpak4 synth_greenpak4
extract_counter -pout extract_counter -pout
design -reset
read_verilog ../top_err.v
read_verilog ../yosys_rocket/AsyncResetReg.v ../yosys_rocket/EICG_wrapper.v ../yosys_rocket/freechips.rocketchip.system.LowRiscConfig.v ../yosys_rocket/freechips.rocketchip.system.LowRiscConfig.behav_srams.v ../yosys_rocket/plusarg_reader.v ../yosys_rocket/SimDTM.v read_verilog ../yosys_rocket/AsyncResetReg.v ../yosys_rocket/EICG_wrapper.v ../yosys_rocket/freechips.rocketchip.system.LowRiscConfig.v ../yosys_rocket/freechips.rocketchip.system.LowRiscConfig.behav_srams.v ../yosys_rocket/plusarg_reader.v ../yosys_rocket/SimDTM.v
proc proc
hierarchy -generate -check -simcheck -purge_lib -keep_positionals -keep_portwidths -nokeep_asserts -auto-top hierarchy -generate -check -simcheck -purge_lib -keep_positionals -keep_portwidths -nokeep_asserts -auto-top
design -reset
read_verilog ../top.v
synth
read_verilog ../top.v read_verilog ../top.v
proc proc
memory_bram -rules uuu memory_bram -rules uuu
design -reset
read_verilog ../top.v
synth -top top
read_verilog ../top.v read_verilog ../top.v
proc proc
memory -bram ../words.v memory -bram ../words.v
design -reset
read_verilog ../top.v
synth -top top
read_verilog ../top.v read_verilog ../top.v
proc proc
memory_bram -rules ../rules.v memory_bram -rules ../rules.v
design -reset
read_verilog ../top.v
synth -top top
read_verilog ../top.v read_verilog ../top.v
proc proc
memory -memx memory -memx
design -reset
read_verilog ../top.v
synth -top top
...@@ -2,8 +2,3 @@ read_verilog ../top.v ...@@ -2,8 +2,3 @@ read_verilog ../top.v
proc proc
memory -nomap memory -nomap
memory_map memory_map
design -reset
read_verilog ../top.v
synth -top top
...@@ -15,8 +15,3 @@ memory_nordff ...@@ -15,8 +15,3 @@ memory_nordff
memory_map memory_map
memory_nordff memory_nordff
memory_unpack memory_unpack
design -reset
read_verilog ../top.v
synth -top top
read_verilog ../top.v read_verilog ../top.v
proc proc
memory -nordff memory -nordff
design -reset
read_verilog ../top.v
synth -top top
...@@ -15,4 +15,3 @@ memory_nordff ...@@ -15,4 +15,3 @@ memory_nordff
memory_map memory_map
memory_nordff memory_nordff
memory_unpack memory_unpack
...@@ -16,8 +16,3 @@ memory_map ...@@ -16,8 +16,3 @@ memory_map
memory_share memory_share
memory memory
memory_share memory_share
design -reset
read_verilog ../top.v
synth -top top
read_verilog ../top_single_port.v read_verilog ../top_single_port.v
proc proc
memory memory
design -reset
read_verilog ../top.v
synth -top top
read_verilog ../top.v read_verilog ../top.v
synth_ice40 synth_ice40
opt_lut -dlogic $_ANDNOT_:A=I0 opt_lut -dlogic $_ANDNOT_:A=I0
design -reset
read_verilog ../top.v
synth -top top
read_verilog ../top.v read_verilog ../top.v
synth_ice40 synth_ice40
opt_lut -limit 2 opt_lut -limit 2
design -reset
read_verilog ../top.v
synth -top top
read_verilog ../top.v read_verilog ../top.v
synth_ice40 synth_ice40
opt_lut -limit 0 opt_lut -limit 0
design -reset
read_verilog ../top.v
synth -top top
read_verilog ../top.v read_verilog ../top.v
synth_greenpak4 -run begin:map_luts synth_greenpak4 -run begin:map_luts
shregmap -clkpol any shregmap -clkpol any
design -reset
read_verilog ../top.v
read_verilog ../top.v read_verilog ../top.v
synth_greenpak4 -run begin:map_luts synth_greenpak4 -run begin:map_luts
shregmap -clkpol neg shregmap -clkpol neg
design -reset
read_verilog ../top.v
read_verilog ../top.v read_verilog ../top.v
synth_greenpak4 -run begin:map_luts synth_greenpak4 -run begin:map_luts
shregmap -clkpol pos shregmap -clkpol pos
design -reset
read_verilog ../top.v
read_verilog ../top.v read_verilog ../top.v
synth_greenpak4 -run begin:map_luts synth_greenpak4 -run begin:map_luts
shregmap -enpol any shregmap -enpol any
design -reset
read_verilog ../top.v
read_verilog ../top.v read_verilog ../top.v
synth_greenpak4 -run begin:map_luts synth_greenpak4 -run begin:map_luts
shregmap -tech greenpak4 -enpol any_or_none shregmap -tech greenpak4 -enpol any_or_none
design -reset
read_verilog ../top.v
read_verilog ../top.v read_verilog ../top.v
synth_greenpak4 -run begin:map_luts synth_greenpak4 -run begin:map_luts
shregmap -tech greenpak4 -enpol neg shregmap -tech greenpak4 -enpol neg
design -reset
read_verilog ../top.v
read_verilog ../top.v read_verilog ../top.v
synth_greenpak4 -run begin:map_luts synth_greenpak4 -run begin:map_luts
shregmap -tech greenpak4 -enpol none shregmap -tech greenpak4 -enpol none
design -reset
read_verilog ../top.v
read_verilog ../top.v read_verilog ../top.v
synth_greenpak4 -run begin:map_luts synth_greenpak4 -run begin:map_luts
shregmap -tech greenpak4 -enpol pos shregmap -tech greenpak4 -enpol pos
design -reset
read_verilog ../top.v
read_verilog ../top.v read_verilog ../top.v
synth_greenpak4 -run begin:map_luts synth_greenpak4 -run begin:map_luts
shregmap -init shregmap -init
design -reset
read_verilog ../top.v
read_verilog ../top.v read_verilog ../top.v
synth_greenpak4 -run begin:map_luts synth_greenpak4 -run begin:map_luts
shregmap -tech greenpak4 -keep_after 5 shregmap -tech greenpak4 -keep_after 5
design -reset
read_verilog ../top.v
read_verilog ../top.v read_verilog ../top.v
synth_greenpak4 -run begin:map_luts synth_greenpak4 -run begin:map_luts
shregmap -tech greenpak4 -keep_before 3 shregmap -tech greenpak4 -keep_before 3
design -reset
read_verilog ../top.v
read_verilog ../top.v read_verilog ../top.v
synth_greenpak4 -run begin:map_luts synth_greenpak4 -run begin:map_luts
shregmap -match \GP_DFF shregmap -match \GP_DFF
design -reset
read_verilog ../top.v
read_verilog ../top.v read_verilog ../top.v
synth_greenpak4 -run begin:map_luts synth_greenpak4 -run begin:map_luts
shregmap -tech greenpak4 -match -clkpol any shregmap -tech greenpak4 -match -clkpol any
design -reset
read_verilog ../top.v
read_verilog ../top.v read_verilog ../top.v
synth_greenpak4 -run begin:map_luts synth_greenpak4 -run begin:map_luts
shregmap -match foobar -enpol any shregmap -match foobar -enpol any
design -reset
read_verilog ../top.v
read_verilog ../top.v read_verilog ../top.v
shregmap -params -match 2:2 shregmap -params -match 2:2
design -reset
read_verilog ../top.v
read_verilog ../top.v read_verilog ../top.v
synth_greenpak4 -run begin:map_luts synth_greenpak4 -run begin:map_luts
shregmap -tech greenpak4 -maxlen 10 shregmap -tech greenpak4 -maxlen 10
design -reset
read_verilog ../top.v
read_verilog ../top.v read_verilog ../top.v
synth_greenpak4 -run begin:map_luts synth_greenpak4 -run begin:map_luts
shregmap -tech greenpak4 -minlen 4 shregmap -tech greenpak4 -minlen 4
design -reset
read_verilog ../top.v
read_verilog ../top.v read_verilog ../top.v
synth_greenpak4 -run begin:map_luts synth_greenpak4 -run begin:map_luts
shregmap -tech greenpak4 -params shregmap -tech greenpak4 -params
design -reset
read_verilog ../top.v
read_verilog ../top_resetable.v read_verilog ../top_resetable.v
synth_greenpak4 synth_greenpak4
shregmap shregmap
design -reset
read_verilog ../top.v
read_verilog ../top.v read_verilog ../top.v
synth_greenpak4 -run begin:map_luts synth_greenpak4 -run begin:map_luts
shregmap -tech greenpak4 shregmap -tech greenpak4
design -reset
read_verilog ../top.v
read_verilog ../top.v read_verilog ../top.v
synth_greenpak4 -run begin:map_luts synth_greenpak4 -run begin:map_luts
shregmap -zinit shregmap -zinit
design -reset
read_verilog ../top.v
read_verilog ../top.v read_verilog ../top.v
synth_greenpak4 -run begin:map_luts synth_greenpak4 -run begin:map_luts
shregmap -tech greenpak4 -zinit -init shregmap -tech greenpak4 -zinit -init
design -reset
read_verilog ../top.v
read_verilog ../top.v
prep
simplemap
synth
read_verilog ../top.v
synth
splice
simplemap top
synth
read_verilog ../top.v
prep
dff2dffe
simplemap top
synth
...@@ -2,7 +2,3 @@ read_verilog ../top_mem.v ...@@ -2,7 +2,3 @@ read_verilog ../top_mem.v
memory_memx memory_memx
proc proc
submod submod
design -reset
read_verilog ../top.v
synth -top top
read_verilog ../top.v read_verilog ../top.v
proc proc
test_pmgen -generate ice40_dsp test_pmgen -generate ice40_dsp
design -reset
read_verilog ../top.v
synth -top top
read_verilog ../top.v read_verilog ../top.v
proc proc
test_pmgen -generate peepopt-muldiv test_pmgen -generate peepopt-muldiv
design -reset
read_verilog ../top.v
synth -top top
read_verilog ../top.v read_verilog ../top.v
proc proc
test_pmgen -generate peepopt-shiftmul test_pmgen -generate peepopt-shiftmul
design -reset
read_verilog ../top.v
synth -top top
read_verilog ../top.v read_verilog ../top.v
proc proc
test_pmgen -generate reduce test_pmgen -generate reduce
design -reset
read_verilog ../top.v
synth -top top
read_verilog ../top.v read_verilog ../top.v
proc proc
test_pmgen -generate xilinx_srl.fixed test_pmgen -generate xilinx_srl.fixed
design -reset
read_verilog ../top.v
synth -top top
read_verilog ../top.v read_verilog ../top.v
proc proc
test_pmgen -generate xilinx_srl.variable test_pmgen -generate xilinx_srl.variable
design -reset
read_verilog ../top.v
synth -top top
read_verilog ../top.v read_verilog ../top.v
proc proc
test_pmgen -reduce_chain test_pmgen -reduce_chain
design -reset
read_verilog ../top.v
synth -top top
read_verilog ../top.v read_verilog ../top.v
proc proc
test_pmgen -reduce_tree test_pmgen -reduce_tree
design -reset
read_verilog ../top.v
synth -top top
read_verilog ../top.v read_verilog ../top.v
hierarchy -top top hierarchy -top top
proc; opt; memory; dff2dffe; wreduce; clean; opt proc; opt; memory; dff2dffe; wreduce; clean; opt
design -reset
read_verilog ../top.v
synth -top top
write_verilog -noexpr -norename synth.v
read_verilog ../top_div.v read_verilog ../top_div.v
hierarchy -top top hierarchy -top top
proc; opt; memory; dff2dffe; wreduce; clean; opt proc; opt; memory; dff2dffe; wreduce; clean; opt
design -reset
read_verilog ../top.v
synth -top top
write_verilog -noexpr -norename synth.v
read_verilog ../top.v read_verilog ../top.v
hierarchy -top top hierarchy -top top
proc; opt; memory; dff2dffe; wreduce -keepdc; clean; opt proc; opt; memory; dff2dffe; wreduce -keepdc; clean; opt
design -reset
read_verilog ../top.v
synth -top top
write_verilog -noexpr -norename synth.v
read_verilog ../top_div.v read_verilog ../top_div.v
hierarchy -top top hierarchy -top top
proc; opt; memory; dff2dffe; wreduce -keepdc; clean; opt proc; opt; memory; dff2dffe; wreduce -keepdc; clean; opt
design -reset
read_verilog ../top.v
synth -top top
write_verilog -noexpr -norename synth.v
read_verilog ../top_mem.v read_verilog ../top_mem.v
hierarchy -top top hierarchy -top top
proc; opt; memory; dff2dffe; wreduce -keepdc; clean; opt proc; opt; memory; dff2dffe; wreduce -keepdc; clean; opt
design -reset
read_verilog ../top.v
synth -top top
write_verilog -noexpr -norename synth.v
read_verilog ../top_mul.v read_verilog ../top_mul.v
hierarchy -top top hierarchy -top top
proc; opt; memory; dff2dffe; wreduce -keepdc; clean; opt proc; opt; memory; dff2dffe; wreduce -keepdc; clean; opt
design -reset
read_verilog ../top.v
synth -top top
write_verilog -noexpr -norename synth.v
read_verilog ../top_reduce.v read_verilog ../top_reduce.v
hierarchy -top top hierarchy -top top
proc; opt; memory; dff2dffe; wreduce -keepdc; clean; opt proc; opt; memory; dff2dffe; wreduce -keepdc; clean; opt
design -reset
read_verilog ../top.v
synth -top top
write_verilog -noexpr -norename synth.v
read_verilog ../top_mem.v read_verilog ../top_mem.v
hierarchy -top top hierarchy -top top
proc; opt; memory; dff2dffe; wreduce; clean; opt proc; opt; memory; dff2dffe; wreduce; clean; opt
design -reset
read_verilog ../top_mem.v
synth -top top
write_verilog -noexpr -norename synth.v
read_verilog ../top_mem.v
synth -top top
read_verilog ../top.v read_verilog ../top.v
hierarchy -top top hierarchy -top top
proc; opt; memory; dff2dffe; wreduce -memx; clean; opt proc; opt; memory; dff2dffe; wreduce -memx; clean; opt
design -reset
read_verilog ../top.v
synth -top top
write_verilog -noexpr -norename synth.v
read_verilog ../top_div.v read_verilog ../top_div.v
hierarchy -top top hierarchy -top top
proc; opt; memory; dff2dffe; wreduce -memx; clean; opt proc; opt; memory; dff2dffe; wreduce -memx; clean; opt
design -reset
read_verilog ../top.v
synth -top top
write_verilog -noexpr -norename synth.v
read_verilog ../top.v read_verilog ../top.v
hierarchy -top top hierarchy -top top
proc; opt; memory; dff2dffe; wreduce -memx -keepdc; clean; opt proc; opt; memory; dff2dffe; wreduce -memx -keepdc; clean; opt
design -reset
read_verilog ../top.v
synth -top top
write_verilog -noexpr -norename synth.v
read_verilog ../top_div.v read_verilog ../top_div.v
hierarchy -top top hierarchy -top top
proc; opt; memory; dff2dffe; wreduce -memx -keepdc; clean; opt proc; opt; memory; dff2dffe; wreduce -memx -keepdc; clean; opt
design -reset
read_verilog ../top.v
synth -top top
write_verilog -noexpr -norename synth.v
read_verilog ../top_mem.v read_verilog ../top_mem.v
hierarchy -top top hierarchy -top top
proc; opt; memory; dff2dffe; wreduce -memx -keepdc; clean; opt proc; opt; memory; dff2dffe; wreduce -memx -keepdc; clean; opt
design -reset
read_verilog ../top.v
synth -top top
write_verilog -noexpr -norename synth.v
read_verilog ../top_mul.v read_verilog ../top_mul.v
hierarchy -top top hierarchy -top top
proc; opt; memory; dff2dffe; wreduce -memx -keepdc; clean; opt proc; opt; memory; dff2dffe; wreduce -memx -keepdc; clean; opt
design -reset
read_verilog ../top.v
synth -top top
write_verilog -noexpr -norename synth.v
read_verilog ../top_reduce.v read_verilog ../top_reduce.v
hierarchy -top top hierarchy -top top
proc; opt; memory; dff2dffe; wreduce -memx -keepdc; clean; opt proc; opt; memory; dff2dffe; wreduce -memx -keepdc; clean; opt
design -reset
read_verilog ../top.v
synth -top top
write_verilog -noexpr -norename synth.v
read_verilog ../top_mem.v read_verilog ../top_mem.v
hierarchy -top top hierarchy -top top
proc; opt; memory; dff2dffe; wreduce -memx; clean; opt proc; opt; memory; dff2dffe; wreduce -memx; clean; opt
design -reset
read_verilog ../top.v
synth -top top
write_verilog -noexpr -norename synth.v
read_verilog ../top_mul.v read_verilog ../top_mul.v
hierarchy -top top hierarchy -top top
proc; opt; memory; dff2dffe; wreduce -memx; clean; opt proc; opt; memory; dff2dffe; wreduce -memx; clean; opt
design -reset
read_verilog ../top.v
synth -top top
write_verilog -noexpr -norename synth.v
read_verilog ../top_reduce.v read_verilog ../top_reduce.v
hierarchy -top top hierarchy -top top
proc; opt; memory; dff2dffe; wreduce -memx; clean; opt proc; opt; memory; dff2dffe; wreduce -memx; clean; opt
design -reset
read_verilog ../top.v
synth -top top
write_verilog -noexpr -norename synth.v
read_verilog ../top_mul.v read_verilog ../top_mul.v
hierarchy -top top hierarchy -top top
proc; opt; memory; dff2dffe; wreduce; clean; opt proc; opt; memory; dff2dffe; wreduce; clean; opt
design -reset
read_verilog ../top.v
synth -top top
write_verilog -noexpr -norename synth.v
read_verilog ../top_reduce.v read_verilog ../top_reduce.v
hierarchy -top top hierarchy -top top
proc; opt; memory; dff2dffe; wreduce; clean; opt proc; opt; memory; dff2dffe; wreduce; clean; opt
design -reset
read_verilog ../top.v
synth -top top
write_verilog -noexpr -norename synth.v
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment