Commit 08feab0a by Miodrag Milanovic

fix arch tests

parent e630e78b
...@@ -8,11 +8,13 @@ proc ...@@ -8,11 +8,13 @@ proc
equiv_opt -map +/coolrunner2/cells_sim.v synth_coolrunner2 # equivalency check equiv_opt -map +/coolrunner2/cells_sim.v synth_coolrunner2 # equivalency check
design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design) design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
cd dff # Constrain all select calls below inside the top module cd dff # Constrain all select calls below inside the top module
stat
select -assert-count 2 t:ANDTERM
select -assert-count 1 t:FDCP select -assert-count 1 t:FDCP
select -assert-count 2 t:IBUF select -assert-count 2 t:IBUF
select -assert-count 1 t:IOBUFE select -assert-count 1 t:IOBUFE
select -assert-none t:FDCP t:IBUF t:IOBUFE %% t:* %D select -assert-count 1 t:MACROCELL_XOR
select -assert-none t:ANDTERM t:FDCP t:IBUF t:IOBUFE t:MACROCELL_XOR %% t:* %D
design -load read design -load read
hierarchy -top dffe hierarchy -top dffe
...@@ -22,8 +24,8 @@ proc ...@@ -22,8 +24,8 @@ proc
equiv_opt -map +/coolrunner2/cells_sim.v synth_coolrunner2 # equivalency check equiv_opt -map +/coolrunner2/cells_sim.v synth_coolrunner2 # equivalency check
design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design) design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
cd dffe # Constrain all select calls below inside the top module cd dffe # Constrain all select calls below inside the top module
stat
select -assert-count 2 t:ANDTERM select -assert-count 3 t:ANDTERM
select -assert-count 1 t:FDCP select -assert-count 1 t:FDCP
select -assert-count 3 t:IBUF select -assert-count 3 t:IBUF
select -assert-count 1 t:IOBUFE select -assert-count 1 t:IOBUFE
......
...@@ -9,10 +9,12 @@ equiv_opt -map +/coolrunner2/cells_sim.v synth_coolrunner2 # equivalency check ...@@ -9,10 +9,12 @@ equiv_opt -map +/coolrunner2/cells_sim.v synth_coolrunner2 # equivalency check
design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design) design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
cd dff # Constrain all select calls below inside the top module cd dff # Constrain all select calls below inside the top module
select -assert-count 2 t:ANDTERM
select -assert-count 1 t:FDCP select -assert-count 1 t:FDCP
select -assert-count 2 t:IBUF select -assert-count 2 t:IBUF
select -assert-count 1 t:IOBUFE select -assert-count 1 t:IOBUFE
select -assert-none t:FDCP t:IBUF t:IOBUFE %% t:* %D select -assert-count 1 t:MACROCELL_XOR
select -assert-none t:ANDTERM t:FDCP t:IBUF t:IOBUFE t:MACROCELL_XOR %% t:* %D
design -load read design -load read
hierarchy -top dffe hierarchy -top dffe
...@@ -23,7 +25,7 @@ equiv_opt -map +/coolrunner2/cells_sim.v synth_coolrunner2 # equivalency check ...@@ -23,7 +25,7 @@ equiv_opt -map +/coolrunner2/cells_sim.v synth_coolrunner2 # equivalency check
design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design) design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
cd dffe # Constrain all select calls below inside the top module cd dffe # Constrain all select calls below inside the top module
select -assert-count 2 t:ANDTERM select -assert-count 3 t:ANDTERM
select -assert-count 1 t:FDCP select -assert-count 1 t:FDCP
select -assert-count 3 t:IBUF select -assert-count 3 t:IBUF
select -assert-count 1 t:IOBUFE select -assert-count 1 t:IOBUFE
......
...@@ -9,10 +9,12 @@ equiv_opt -map +/coolrunner2/cells_sim.v synth_coolrunner2 # equivalency check ...@@ -9,10 +9,12 @@ equiv_opt -map +/coolrunner2/cells_sim.v synth_coolrunner2 # equivalency check
design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design) design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
cd dff # Constrain all select calls below inside the top module cd dff # Constrain all select calls below inside the top module
select -assert-count 2 t:ANDTERM
select -assert-count 1 t:FDCP select -assert-count 1 t:FDCP
select -assert-count 2 t:IBUF select -assert-count 2 t:IBUF
select -assert-count 1 t:IOBUFE select -assert-count 1 t:IOBUFE
select -assert-none t:FDCP t:IBUF t:IOBUFE %% t:* %D select -assert-count 1 t:MACROCELL_XOR
select -assert-none t:ANDTERM t:FDCP t:IBUF t:IOBUFE t:MACROCELL_XOR %% t:* %D
design -load read design -load read
hierarchy -top dffe hierarchy -top dffe
...@@ -23,7 +25,7 @@ equiv_opt -map +/coolrunner2/cells_sim.v synth_coolrunner2 # equivalency check ...@@ -23,7 +25,7 @@ equiv_opt -map +/coolrunner2/cells_sim.v synth_coolrunner2 # equivalency check
design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design) design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
cd dffe # Constrain all select calls below inside the top module cd dffe # Constrain all select calls below inside the top module
select -assert-count 2 t:ANDTERM select -assert-count 3 t:ANDTERM
select -assert-count 1 t:FDCP select -assert-count 1 t:FDCP
select -assert-count 3 t:IBUF select -assert-count 3 t:IBUF
select -assert-count 1 t:IOBUFE select -assert-count 1 t:IOBUFE
......
...@@ -9,10 +9,12 @@ equiv_opt -map +/coolrunner2/cells_sim.v synth_coolrunner2 # equivalency check ...@@ -9,10 +9,12 @@ equiv_opt -map +/coolrunner2/cells_sim.v synth_coolrunner2 # equivalency check
design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design) design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
cd dff # Constrain all select calls below inside the top module cd dff # Constrain all select calls below inside the top module
select -assert-count 2 t:ANDTERM
select -assert-count 1 t:FDCP select -assert-count 1 t:FDCP
select -assert-count 2 t:IBUF select -assert-count 2 t:IBUF
select -assert-count 1 t:IOBUFE select -assert-count 1 t:IOBUFE
select -assert-none t:FDCP t:IBUF t:IOBUFE %% t:* %D select -assert-count 1 t:MACROCELL_XOR
select -assert-none t:ANDTERM t:FDCP t:IBUF t:IOBUFE t:MACROCELL_XOR %% t:* %D
design -load read design -load read
hierarchy -top dffe hierarchy -top dffe
...@@ -23,7 +25,7 @@ equiv_opt -map +/coolrunner2/cells_sim.v synth_coolrunner2 # equivalency check ...@@ -23,7 +25,7 @@ equiv_opt -map +/coolrunner2/cells_sim.v synth_coolrunner2 # equivalency check
design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design) design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
cd dffe # Constrain all select calls below inside the top module cd dffe # Constrain all select calls below inside the top module
select -assert-count 2 t:ANDTERM select -assert-count 3 t:ANDTERM
select -assert-count 1 t:FDCP select -assert-count 1 t:FDCP
select -assert-count 3 t:IBUF select -assert-count 3 t:IBUF
select -assert-count 1 t:IOBUFE select -assert-count 1 t:IOBUFE
......
...@@ -9,10 +9,12 @@ equiv_opt -map +/coolrunner2/cells_sim.v synth_coolrunner2 # equivalency check ...@@ -9,10 +9,12 @@ equiv_opt -map +/coolrunner2/cells_sim.v synth_coolrunner2 # equivalency check
design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design) design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
cd dff # Constrain all select calls below inside the top module cd dff # Constrain all select calls below inside the top module
select -assert-count 2 t:ANDTERM
select -assert-count 1 t:FDCP select -assert-count 1 t:FDCP
select -assert-count 2 t:IBUF select -assert-count 2 t:IBUF
select -assert-count 1 t:IOBUFE select -assert-count 1 t:IOBUFE
select -assert-none t:FDCP t:IBUF t:IOBUFE %% t:* %D select -assert-count 1 t:MACROCELL_XOR
select -assert-none t:ANDTERM t:FDCP t:IBUF t:IOBUFE t:MACROCELL_XOR %% t:* %D
design -load read design -load read
hierarchy -top dffe hierarchy -top dffe
...@@ -23,7 +25,7 @@ equiv_opt -map +/coolrunner2/cells_sim.v synth_coolrunner2 # equivalency check ...@@ -23,7 +25,7 @@ equiv_opt -map +/coolrunner2/cells_sim.v synth_coolrunner2 # equivalency check
design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design) design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
cd dffe # Constrain all select calls below inside the top module cd dffe # Constrain all select calls below inside the top module
select -assert-count 2 t:ANDTERM select -assert-count 3 t:ANDTERM
select -assert-count 1 t:FDCP select -assert-count 1 t:FDCP
select -assert-count 3 t:IBUF select -assert-count 3 t:IBUF
select -assert-count 1 t:IOBUFE select -assert-count 1 t:IOBUFE
......
...@@ -9,10 +9,12 @@ equiv_opt -map +/coolrunner2/cells_sim.v synth_coolrunner2 # equivalency check ...@@ -9,10 +9,12 @@ equiv_opt -map +/coolrunner2/cells_sim.v synth_coolrunner2 # equivalency check
design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design) design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
cd dff # Constrain all select calls below inside the top module cd dff # Constrain all select calls below inside the top module
select -assert-count 2 t:ANDTERM
select -assert-count 1 t:FDCP select -assert-count 1 t:FDCP
select -assert-count 2 t:IBUF select -assert-count 2 t:IBUF
select -assert-count 1 t:IOBUFE select -assert-count 1 t:IOBUFE
select -assert-none t:FDCP t:IBUF t:IOBUFE %% t:* %D select -assert-count 1 t:MACROCELL_XOR
select -assert-none t:ANDTERM t:FDCP t:IBUF t:IOBUFE t:MACROCELL_XOR %% t:* %D
design -load read design -load read
hierarchy -top dffe hierarchy -top dffe
...@@ -23,7 +25,7 @@ equiv_opt -map +/coolrunner2/cells_sim.v synth_coolrunner2 # equivalency check ...@@ -23,7 +25,7 @@ equiv_opt -map +/coolrunner2/cells_sim.v synth_coolrunner2 # equivalency check
design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design) design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
cd dffe # Constrain all select calls below inside the top module cd dffe # Constrain all select calls below inside the top module
select -assert-count 2 t:ANDTERM select -assert-count 3 t:ANDTERM
select -assert-count 1 t:FDCP select -assert-count 1 t:FDCP
select -assert-count 3 t:IBUF select -assert-count 3 t:IBUF
select -assert-count 1 t:IOBUFE select -assert-count 1 t:IOBUFE
......
...@@ -33,5 +33,5 @@ cd top ...@@ -33,5 +33,5 @@ cd top
stat stat
select -assert-count 6 t:SB_DFF select -assert-count 6 t:SB_DFF
select -assert-count 384 t:SB_DFFE select -assert-count 384 t:SB_DFFE
select -assert-count 372 t:SB_LUT4 select -assert-count 373 t:SB_LUT4
select -assert-none t:SB_DFF t:SB_DFFE t:SB_LUT4 %% t:* %D select -assert-none t:SB_DFF t:SB_DFFE t:SB_LUT4 %% t:* %D
...@@ -35,5 +35,5 @@ synth_intel -nobram ...@@ -35,5 +35,5 @@ synth_intel -nobram
#design -load postopt #design -load postopt
cd top cd top
select -assert-count 520 t:dffeas select -assert-count 520 t:dffeas
select -assert-count 976 t:fiftyfivenm_lcell_comb select -assert-count 977 t:fiftyfivenm_lcell_comb
select -assert-none t:dffeas t:fiftyfivenm_lcell_comb %% t:* %D select -assert-none t:dffeas t:fiftyfivenm_lcell_comb %% t:* %D
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment