Skip to content
Projects
Groups
Snippets
Help
This project
Loading...
Sign in / Register
Toggle navigation
Y
yosys-tests
Overview
Overview
Details
Activity
Cycle Analytics
Repository
Repository
Files
Commits
Branches
Tags
Contributors
Graph
Compare
Charts
Issues
0
Issues
0
List
Board
Labels
Milestones
Merge Requests
0
Merge Requests
0
CI / CD
CI / CD
Pipelines
Jobs
Schedules
Charts
Wiki
Wiki
Snippets
Snippets
Members
Members
Collapse sidebar
Close sidebar
Activity
Graph
Charts
Create a new issue
Jobs
Commits
Issue Boards
Open sidebar
lvzhengyang
yosys-tests
Commits
fc235516
Commit
fc235516
authored
Jul 13, 2019
by
Eddie Hung
Browse files
Options
Browse Files
Download
Email Patches
Plain Diff
Run all benchmarks with {xilinx,ecp5}{,_abc9} too
parent
5d602ff0
Hide whitespace changes
Inline
Side-by-side
Showing
3 changed files
with
29 additions
and
13 deletions
+29
-13
bigsim/.gitignore
+15
-0
bigsim/Makefile
+3
-2
bigsim/run.sh
+11
-11
No files found.
bigsim/.gitignore
View file @
fc235516
...
@@ -2,13 +2,28 @@
...
@@ -2,13 +2,28 @@
/.start
/.start
/*_cmos.status
/*_cmos.status
/*_ice40.status
/*_ice40.status
/*_ice40_abc9.status
/*_falsify.status
/*_falsify.status
/*_sim.status
/*_sim.status
/*_ecp5.status
/*_ecp5_abc9.status
/*_xilinx.status
/*_xilinx_abc9.status
/*/work_sim
/*/work_sim
/*/work_cmos
/*/work_cmos
/*/work_ice40
/*/work_ice40
/*/work_ice40_abc9
/*/work_falsify
/*/work_falsify
/*/work_ecp5
/*/work_ecp5_abc9
/*/work_xilinx
/*/work_xilinx_abc9
/*/.stamp_sim
/*/.stamp_sim
/*/.stamp_cmos
/*/.stamp_cmos
/*/.stamp_ice40
/*/.stamp_ice40
/*/.stamp_ice40_abc9
/*/.stamp_falsify
/*/.stamp_falsify
/*/.stamp_ecp5
/*/.stamp_ecp5_abc9
/*/.stamp_xilinx
/*/.stamp_xilinx_abc9
bigsim/Makefile
View file @
fc235516
...
@@ -21,9 +21,10 @@ $(1)/.stamp_%: $(1)/.stamp_sim
...
@@ -21,9 +21,10 @@ $(1)/.stamp_%: $(1)/.stamp_sim
clean
::
clean
::
rm
-rf
$
(
1
)
/.stamp_
*
$
(
1
)
/work_
*
rm
-rf
$
(
1
)
/.stamp_
*
$
(
1
)
/work_
*
rm
-f
$
(
1
)
_
cmos
.status
$
(
1
)
_ice40.status
$
(
1
)
_falsify.status
rm
-f
$
(
1
)
_
{
cmos,ice40,falsify,ecp5,xilinx
}{
,_abc9
}
.status
$
(
1
)
_ice40.status
$
(
1
)
_falsify.status
endef
endef
$(eval
$(call
template,navre,cmos
ice40
ice40_abc9
ecp5
ecp5_abc9
xilinx
xilinx_abc9))
#$(eval $(call template,navre,cmos ice40 ice40_abc9 ecp5 ecp5_abc9 xilinx xilinx_abc9))
$(eval
$(call
template,picorv32,cmos
ice40
ice40_abc9
ecp5
ecp5_abc9
xilinx
xilinx_abc9))
.PHONY
:
all clean
.PHONY
:
all clean
bigsim/run.sh
View file @
fc235516
...
@@ -2,12 +2,12 @@
...
@@ -2,12 +2,12 @@
set
-x
set
-x
source
$1
/config
source
$1
/config
mkdir
$1
/work_
$2
mkdir
-p
$1
/work_
$2
cd
$1
/work_
$2
cd
$1
/work_
$2
touch .start
touch .start
iverilog_cmd
=
"iverilog -o sim -s testbench -I../rtl -I../sim"
iverilog_cmd
=
"iverilog -o sim -s testbench -I../rtl -I../sim
$SIMARGS
"
rtl_files
=
""
rtl_files
=
""
for
fn
in
$RTL
;
do
for
fn
in
$RTL
;
do
...
@@ -26,34 +26,34 @@ case "$2" in
...
@@ -26,34 +26,34 @@ case "$2" in
iverilog_cmd
=
"
$iverilog_cmd
$rtl_files
"
iverilog_cmd
=
"
$iverilog_cmd
$rtl_files
"
;;
;;
falsify
)
falsify
)
iverilog_cmd
=
"
$iverilog_cmd
-D
BUG
$rtl_files
"
iverilog_cmd
=
"
$iverilog_cmd
-D
${
BUGMACRO
:-
BUG
}
$rtl_files
"
;;
;;
cmos
)
cmos
)
yosys
-ql
synthlog.txt
-p
"synth -top
$TOP
; abc -g cmos4; write_verilog synth.v"
$rtl_files
yosys
-ql
synthlog.txt
-p
"
$PRESYN
;
synth -top
$TOP
; abc -g cmos4; write_verilog synth.v"
$rtl_files
iverilog_cmd
=
"
$iverilog_cmd
synth.v"
iverilog_cmd
=
"
$iverilog_cmd
synth.v"
;;
;;
ice40
)
ice40
)
yosys
-ql
synthlog.txt
-p
"synth_ice40 -top
$TOP
; write_verilog synth.v"
$rtl_files
yosys
-ql
synthlog.txt
-p
"
$PRESYN
;
synth_ice40 -top
$TOP
; write_verilog synth.v"
$rtl_files
iverilog_cmd
=
"
$iverilog_cmd
synth.v
$TECHLIBS_PREFIX
/ice40/cells_sim.v"
iverilog_cmd
=
"
$iverilog_cmd
synth.v
$TECHLIBS_PREFIX
/ice40/cells_sim.v"
;;
;;
ice40_abc9
)
ice40_abc9
)
yosys
-ql
synthlog.txt
-p
"synth_ice40 -abc9 -top
$TOP
; write_verilog synth.v"
$rtl_files
yosys
-ql
synthlog.txt
-p
"
$PRESYN
;
synth_ice40 -abc9 -top
$TOP
; write_verilog synth.v"
$rtl_files
iverilog_cmd
=
"
$iverilog_cmd
synth.v
$TECHLIBS_PREFIX
/ice40/cells_sim.v"
iverilog_cmd
=
"
$iverilog_cmd
synth.v
$TECHLIBS_PREFIX
/ice40/cells_sim.v"
;;
;;
ecp5
)
ecp5
)
yosys
-ql
synthlog.txt
-p
"synth_ecp5 -top
$TOP
; write_verilog synth.v"
$rtl_files
yosys
-ql
synthlog.txt
-p
"
$PRESYN
;
synth_ecp5 -top
$TOP
; write_verilog synth.v"
$rtl_files
iverilog_cmd
=
"
$iverilog_cmd
synth.v
$TECHLIBS_PREFIX
/ecp5/cells_sim.v"
iverilog_cmd
=
"
$iverilog_cmd
synth.v
$TECHLIBS_PREFIX
/ecp5/cells_sim.v"
;;
;;
ecp5_abc9
)
ecp5_abc9
)
yosys
-ql
synthlog.txt
-p
"synth_ecp5 -abc9 -top
$TOP
; write_verilog synth.v"
$rtl_files
yosys
-ql
synthlog.txt
-p
"
$PRESYN
;
synth_ecp5 -abc9 -top
$TOP
; write_verilog synth.v"
$rtl_files
iverilog_cmd
=
"
$iverilog_cmd
synth.v
$TECHLIBS_PREFIX
/ecp5/cells_sim.v"
iverilog_cmd
=
"
$iverilog_cmd
synth.v
$TECHLIBS_PREFIX
/ecp5/cells_sim.v"
;;
;;
xilinx
)
xilinx
)
yosys
-ql
synthlog.txt
-p
"synth_xilinx -top
$TOP
; write_verilog synth.v"
$rtl_files
yosys
-ql
synthlog.txt
-p
"
$PRESYN
;
synth_xilinx -top
$TOP
; write_verilog synth.v"
$rtl_files
iverilog_cmd
=
"
$iverilog_cmd
synth.v
$TECHLIBS_PREFIX
/xilinx/cells_sim.v"
iverilog_cmd
=
"
$iverilog_cmd
synth.v
$TECHLIBS_PREFIX
/xilinx/cells_sim.v"
;;
;;
xilinx_abc9
)
xilinx_abc9
)
yosys
-ql
synthlog.txt
-p
"synth_xilinx -abc9 -top
$TOP
; write_verilog synth.v"
$rtl_files
yosys
-ql
synthlog.txt
-p
"
$PRESYN
;
synth_xilinx -abc9 -top
$TOP
; write_verilog synth.v"
$rtl_files
iverilog_cmd
=
"
$iverilog_cmd
synth.v
$TECHLIBS_PREFIX
/xilinx/cells_sim.v"
iverilog_cmd
=
"
$iverilog_cmd
synth.v
$TECHLIBS_PREFIX
/xilinx/cells_sim.v"
;;
;;
*
)
*
)
...
@@ -71,7 +71,7 @@ if [ $? != 0 ] ; then
...
@@ -71,7 +71,7 @@ if [ $? != 0 ] ; then
exit
1
exit
1
fi
fi
vvp
-N
sim | pv
-l
>
output.txt
vvp
-N
sim
$PLUSARGS
| pv
-l
>
output.txt
if
[
$?
!=
0
]
;
then
if
[
$?
!=
0
]
;
then
echo
FAIL
>
${
1
}
_
${
2
}
.status
echo
FAIL
>
${
1
}
_
${
2
}
.status
touch .stamp
touch .stamp
...
...
Write
Preview
Markdown
is supported
0%
Try again
or
attach a new file
Attach a file
Cancel
You are about to add
0
people
to the discussion. Proceed with caution.
Finish editing this message first!
Cancel
Please
register
or
sign in
to comment