Commit bc6412d1 by Miodrag Milanovic

correct always_latch syntax

parent 64ab84a7
...@@ -4,10 +4,10 @@ module mux2 (S,A,B,Y,Y1); ...@@ -4,10 +4,10 @@ module mux2 (S,A,B,Y,Y1);
output reg Y,Y1; output reg Y,Y1;
always_ff @(*) always_ff @(*)
Y = (S)? B : A; Y = (S)? B : A;
always_latch @(*) always_latch
Y1 = (~S)? B : A; Y1 = (~S)? B : A;
endmodule endmodule
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment