Merge pull request #59 from SergeyDegtyar/master
Add new tests for passes/techmap, abc9, test_cell, synth -abc9; New tests to 'architecture'.
Showing
architecture/scripts/synth_ecp5_abc9.ys
0 → 100644
architecture/scripts/synth_gowin_nodffe.ys
0 → 100644
architecture/scripts/synth_gowin_nodram.ys
0 → 100644
architecture/scripts/synth_ice40_abc9.ys
0 → 100644
architecture/scripts/synth_ice40_device_u.ys
0 → 100644
architecture/scripts/synth_ice40_opt.ys
0 → 100644
architecture/scripts/synth_ice40_unlut.ys
0 → 100644
architecture/scripts/synth_xilinx_abc9.ys
0 → 100644
architecture/scripts/synth_xilinx_nocarry.ys
0 → 100644
architecture/scripts/synth_xilinx_widemux.ys
0 → 100644
architecture/synth_greenpak4_dffs_r/top.v
0 → 100644
architecture/synth_ice40_fulladder/top.v
0 → 100644
backends/write_firrtl_mul/testbench.v
0 → 100644
backends/write_firrtl_mul/top.v
0 → 100644
backends/write_firrtl_pow/testbench.v
0 → 100644
backends/write_firrtl_pow/top.v
0 → 100644
backends/write_firrtl_sub/testbench.v
0 → 100644
backends/write_firrtl_sub/top.v
0 → 100644
misc/abc9_dff/top.v
0 → 100644
misc/abc9_error/top.v
0 → 100644
misc/abc9_mem/top.v
0 → 100644
misc/abc9_mux/top.v
0 → 100644
misc/scripts/abc9_W.ys
0 → 100644
misc/scripts/abc9_box.ys
0 → 100644
misc/scripts/abc9_cant_open_output_file.ys
0 → 100644
misc/scripts/abc9_invalid_luts_syntax.ys
0 → 100644
misc/scripts/abc9_script.ys
0 → 100644
misc/scripts/abc9_wo_proc.ys
0 → 100644
misc/scripts/abc9_wo_synth.ys
0 → 100644
misc/scripts/abc_g_cmos.ys
0 → 100644
misc/scripts/test_cell_wo_synth.ys
0 → 100644
simple/scripts/full_adder_b.ys
0 → 100644
simple/scripts/full_adder_d.ys
0 → 100644
simple/scripts/full_adder_wo_opt.ys
0 → 100644
simple/scripts/iopadmap_dont_map.ys
0 → 100644
simple/scripts/muxcover_dmux.ys
0 → 100644
simple/scripts/muxcover_mux2.ys
0 → 100644
simple/scripts/simplemap.ys
0 → 100644
simple/scripts/simplemap_slice_concat.ys
0 → 100644
simple/scripts/simplemap_top.ys
0 → 100644
simple/scripts/synth_abc9.ys
0 → 100644
simple/scripts/synth_abc9_no_lut.ys
0 → 100644
simple/scripts/techmap.ys
0 → 100644
simple/scripts/techmap_assert.ys
0 → 100644
simple/scripts/techmap_autoproc.ys
0 → 100644
simple/scripts/techmap_d.ys
0 → 100644
simple/scripts/techmap_extern.ys
0 → 100644
simple/scripts/techmap_i.ys
0 → 100644
simple/scripts/techmap_map.ys
0 → 100644
simple/scripts/techmap_max_iter.ys
0 → 100644
simple/scripts/techmap_recursive.ys
0 → 100644
simple/scripts/techmap_wb.ys
0 → 100644
simple/simplemap/testbench.v
0 → 100644
simple/simplemap/top.v
0 → 100644
simple/simplemap_mem_slice_concat/top.v
0 → 100644
simple/simplemap_reduce/testbench.v
0 → 100644
simple/simplemap_reduce/top.v
0 → 100644
simple/techmap/testbench.v
0 → 100644
simple/techmap/top.v
0 → 100644
Please
register
or
sign in
to comment