Commit 53ae713b by Miodrag Milanovic

fix some tests

parent b5cb2660
......@@ -12,7 +12,7 @@ endmodule
module assert_tri(input en, input A, input B);
always @(posedge en)
begin
//#1;
#1;
if (A !== B)
begin
$display("ERROR: ASSERTION FAILED in %m:",$time," ",A," ",B);
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment