Commit b5cb2660 by Miodrag Milanovic

various issues causing iverilog to give bad result

parent 58b1f76a
......@@ -9,11 +9,6 @@ module top
output cout
);
initial begin
A = 0;
cout = 0;
end
`ifndef BUG
assign A = y + cin;
assign cout = y + A;
......
......@@ -9,11 +9,6 @@ module top
output cout
);
initial begin
A = 0;
cout = 0;
end
`ifndef BUG
assign A = y + cin;
assign cout = y + A;
......
module testbench;
reg [2:0] in;
wire patt_out;
wire patt_carry_out;
wire out;
wire carryout;
wire patt_out = 0;
wire patt_carry_out = 0;
wire out = 0;
wire carryout = 0;
initial begin
// $dumpfile("testbench.vcd");
......
......@@ -5,8 +5,8 @@ module top
input cin,
input clk,
output A,
output cout
output reg A,
output reg cout
);
initial begin
......
......@@ -85,7 +85,8 @@ input [15:0] D,
output M2,M4,M8,M16
);
wire a,b,c,d,e,f,g,h;
wire a,c,d,e,g;
reg b,h,f;
always @(*)
begin
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment