Commit 30151c06 by SergeyDegtyar

Review and update tests for issues 1091-1231

parent 672505c4
...@@ -17,46 +17,6 @@ clean:: ...@@ -17,46 +17,6 @@ clean::
)) ))
endef endef
#issue_01091
$(eval $(call template,issue_01091,issue_01091))
#issue_01093
$(eval $(call template,issue_01093,issue_01093))
#issue_01115
$(eval $(call template,issue_01115,issue_01115))
#issue_01118
$(eval $(call template,issue_01118,issue_01118))
#issue_01128
$(eval $(call template,issue_01128,issue_01128))
#issue_01131
$(eval $(call template,issue_01131,issue_01131))
#issue_01132
$(eval $(call template,issue_01132,issue_01132))
#issue_01135
$(eval $(call template,issue_01135,issue_01135))
#issue_01144
$(eval $(call template,issue_01144,issue_01144))
#issue_01145
$(eval $(call template,issue_01145,issue_01145))
#issue_01220
$(eval $(call template,issue_01220,issue_01220))
#issue_01223
$(eval $(call template,issue_01223,issue_01223))
#issue_01231
$(eval $(call template,issue_01231,issue_01231))
#issue_01243 #issue_01243
$(eval $(call template,issue_01243,issue_01243)) $(eval $(call template,issue_01243,issue_01243))
...@@ -79,26 +39,7 @@ $(eval $(call template,issue_01372,issue_01372)) ...@@ -79,26 +39,7 @@ $(eval $(call template,issue_01372,issue_01372))
#Still open bugs (should be failed): #Still open bugs (should be failed):
#issue_01126
$(eval $(call template,issue_01126,issue_01126))
#issue_01161
$(eval $(call template,issue_01161,issue_01161))
#issue_01193
$(eval $(call template,issue_01193,issue_01193))
#issue_01206
$(eval $(call template,issue_01206,issue_01206))
#issue_01216
$(eval $(call template,issue_01216,issue_01216))
#issue_01217
$(eval $(call template,issue_01217,issue_01217))
#issue_01225
$(eval $(call template,issue_01225,issue_01225))
#issue_01259 #issue_01259
$(eval $(call template,issue_01259,issue_01259)) $(eval $(call template,issue_01259,issue_01259))
......
tee -o result.log read_verilog ../top.v
tee -o result.log read_verilog ../top.v
...@@ -443,6 +443,46 @@ $(eval $(call template,issue_01070,issue_01070)) ...@@ -443,6 +443,46 @@ $(eval $(call template,issue_01070,issue_01070))
#issue_01084 #issue_01084
$(eval $(call template,issue_01084,issue_01084)) $(eval $(call template,issue_01084,issue_01084))
#issue_01091
$(eval $(call template,issue_01091,issue_01091))
#issue_01093
$(eval $(call template,issue_01093,issue_01093_fail))
#issue_01115
$(eval $(call template,issue_01115,issue_01115))
#issue_01118
$(eval $(call template,issue_01118,issue_01118))
#issue_01128
$(eval $(call template,issue_01128,issue_01128))
#issue_01131
$(eval $(call template,issue_01131,issue_01131_fail))
#issue_01132
$(eval $(call template,issue_01132,issue_01132))
#issue_01135
$(eval $(call template,issue_01135,issue_01135))
#issue_01144
$(eval $(call template,issue_01144,issue_01144_fail))
#issue_01145
$(eval $(call template,issue_01145,issue_01145))
#issue_01220
$(eval $(call template,issue_01220,issue_01220))
#issue_01223
$(eval $(call template,issue_01223,issue_01223))
#issue_01231
$(eval $(call template,issue_01231,issue_01231))
#Still open bugs (should be failed): #Still open bugs (should be failed):
#issue_00329 #issue_00329
$(eval $(call template,issue_00329,issue_00329)) $(eval $(call template,issue_00329,issue_00329))
...@@ -453,4 +493,25 @@ $(eval $(call template,issue_00623,issue_00623)) ...@@ -453,4 +493,25 @@ $(eval $(call template,issue_00623,issue_00623))
#issue_00656 #issue_00656
$(eval $(call template,issue_00656,issue_00656)) $(eval $(call template,issue_00656,issue_00656))
#issue_01126
$(eval $(call template,issue_01126,issue_01126))
#issue_01161
$(eval $(call template,issue_01161,issue_01161))
#issue_01193
$(eval $(call template,issue_01193,issue_01193))
#issue_01206
$(eval $(call template,issue_01206,issue_01206))
#issue_01216
$(eval $(call template,issue_01216,issue_01216))
#issue_01217
$(eval $(call template,issue_01217,issue_01217))
#issue_01225
$(eval $(call template,issue_01225,issue_01225))
.PHONY: all clean .PHONY: all clean
...@@ -3,6 +3,5 @@ proc ...@@ -3,6 +3,5 @@ proc
opt opt
techmap techmap
muxcover -nopartial muxcover -nopartial
stat
select -assert-count 1 t:$_MUX4_ select -assert-count 1 t:$_MUX4_
select -assert-none t:$_MUX4_ %% t:* %D select -assert-none t:$_MUX4_ %% t:* %D
ERROR: Design has no top module, use the 'hierarchy' command to specify one.
read_verilog ../top.v read_verilog ../top.v
proc proc
tee -o result.log sim sim
connect \\\o 33'xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
read_verilog ../top.v read_verilog ../top.v
proc proc
tee -o result.log dump tee -o result.out dump
read_verilog ../top.v read_verilog ../top.v
write_verilog result.log write_verilog result.out
#write_json test_synth.json #write_json test_synth.json
read_verilog ../top.v read_verilog ../top.v
tee -o result.log synth_ice40 -top top
tee -o result.log write_blif test.blif
...@@ -7,4 +7,4 @@ write_verilog result_no_opt.log ...@@ -7,4 +7,4 @@ write_verilog result_no_opt.log
equiv_opt -assert opt_clean equiv_opt -assert opt_clean
opt_clean opt_clean
write_verilog result.log write_verilog result.out
read_verilog -sv ../top.v read_verilog -sv ../top.v
proc proc
select -assert-count 0 t:$dlatch select -assert-count 0 t:$dlatch
tee -o result.log dump
read_ilang ../top.il read_ilang ../top.il
tee -o result.log proc_init proc_init
read_verilog ../top.v
synth_ice40 -top top
write_blif test.blif
tee -o result.out read_verilog ../top.v
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment