Skip to content
Projects
Groups
Snippets
Help
This project
Loading...
Sign in / Register
Toggle navigation
Y
yosys-tests
Overview
Overview
Details
Activity
Cycle Analytics
Repository
Repository
Files
Commits
Branches
Tags
Contributors
Graph
Compare
Charts
Issues
0
Issues
0
List
Board
Labels
Milestones
Merge Requests
0
Merge Requests
0
CI / CD
CI / CD
Pipelines
Jobs
Schedules
Charts
Wiki
Wiki
Snippets
Snippets
Members
Members
Collapse sidebar
Close sidebar
Activity
Graph
Charts
Create a new issue
Jobs
Commits
Issue Boards
Open sidebar
lvzhengyang
yosys-tests
Commits
30151c06
Commit
30151c06
authored
Oct 19, 2019
by
SergeyDegtyar
Browse files
Options
Browse Files
Download
Email Patches
Plain Diff
Review and update tests for issues 1091-1231
parent
672505c4
Hide whitespace changes
Inline
Side-by-side
Showing
50 changed files
with
76 additions
and
73 deletions
+76
-73
regression/Makefile
+0
-59
regression/scripts/issue_01131.ys
+0
-1
regression/scripts/issue_01217.ys
+0
-1
regression_revised/Makefile
+61
-0
regression_revised/issue_01091/issue_01091.ys
+0
-1
regression_revised/issue_01091/top.v
+0
-0
regression_revised/issue_01093/issue_01093_fail.pat
+1
-0
regression_revised/issue_01093/issue_01093_fail.ys
+1
-1
regression_revised/issue_01093/top.v
+0
-0
regression_revised/issue_01115/issue_01115.pat
+1
-0
regression_revised/issue_01115/issue_01115.ys
+1
-1
regression_revised/issue_01115/top.v
+0
-0
regression_revised/issue_01118/issue_01118.ys
+0
-1
regression_revised/issue_01118/top.v
+0
-0
regression_revised/issue_01126/issue_01126.pat
+1
-0
regression_revised/issue_01126/issue_01126.ys
+1
-1
regression_revised/issue_01126/top.v
+0
-0
regression_revised/issue_01128/issue_01128.ys
+0
-0
regression_revised/issue_01128/top.v
+0
-0
regression_revised/issue_01131/issue_01131_fail.pat
+1
-0
regression_revised/issue_01131/issue_01131_fail.ys
+0
-2
regression_revised/issue_01131/top.v
+0
-0
regression_revised/issue_01132/issue_01132.ys
+0
-0
regression_revised/issue_01132/top.v
+0
-0
regression_revised/issue_01135/issue_01135.ys
+0
-0
regression_revised/issue_01135/top.v
+0
-0
regression_revised/issue_01144/issue_01144_fail.ys
+0
-2
regression_revised/issue_01144/top.v
+0
-0
regression_revised/issue_01145/issue_01145.ys
+0
-0
regression_revised/issue_01145/top.sv
+0
-0
regression_revised/issue_01161/issue_01161.pat
+1
-0
regression_revised/issue_01161/issue_01161.ys
+1
-1
regression_revised/issue_01161/top.v
+0
-0
regression_revised/issue_01193/issue_01193.ys
+0
-1
regression_revised/issue_01193/top.v
+0
-0
regression_revised/issue_01206/issue_01206.ys
+1
-1
regression_revised/issue_01206/top.il
+0
-0
regression_revised/issue_01216/issue_01216.ys
+3
-0
regression_revised/issue_01216/top.v
+0
-0
regression_revised/issue_01217/issue_01217.ys
+1
-0
regression_revised/issue_01217/issue_01217_n.pat
+1
-0
regression_revised/issue_01217/top.v
+0
-0
regression_revised/issue_01220/issue_01220.ys
+0
-0
regression_revised/issue_01220/top.v
+0
-0
regression_revised/issue_01223/issue_01223.ys
+0
-0
regression_revised/issue_01223/top.v
+0
-0
regression_revised/issue_01225/issue_01225.ys
+0
-0
regression_revised/issue_01225/top.v
+0
-0
regression_revised/issue_01231/issue_01231.ys
+0
-0
regression_revised/issue_01231/top.v
+0
-0
No files found.
regression/Makefile
View file @
30151c06
...
...
@@ -17,46 +17,6 @@ clean::
))
endef
#issue_01091
$(eval
$(call
template,issue_01091,issue_01091))
#issue_01093
$(eval
$(call
template,issue_01093,issue_01093))
#issue_01115
$(eval
$(call
template,issue_01115,issue_01115))
#issue_01118
$(eval
$(call
template,issue_01118,issue_01118))
#issue_01128
$(eval
$(call
template,issue_01128,issue_01128))
#issue_01131
$(eval
$(call
template,issue_01131,issue_01131))
#issue_01132
$(eval
$(call
template,issue_01132,issue_01132))
#issue_01135
$(eval
$(call
template,issue_01135,issue_01135))
#issue_01144
$(eval
$(call
template,issue_01144,issue_01144))
#issue_01145
$(eval
$(call
template,issue_01145,issue_01145))
#issue_01220
$(eval
$(call
template,issue_01220,issue_01220))
#issue_01223
$(eval
$(call
template,issue_01223,issue_01223))
#issue_01231
$(eval
$(call
template,issue_01231,issue_01231))
#issue_01243
$(eval
$(call
template,issue_01243,issue_01243))
...
...
@@ -79,26 +39,7 @@ $(eval $(call template,issue_01372,issue_01372))
#Still open bugs (should be failed):
#issue_01126
$(eval
$(call
template,issue_01126,issue_01126))
#issue_01161
$(eval
$(call
template,issue_01161,issue_01161))
#issue_01193
$(eval
$(call
template,issue_01193,issue_01193))
#issue_01206
$(eval
$(call
template,issue_01206,issue_01206))
#issue_01216
$(eval
$(call
template,issue_01216,issue_01216))
#issue_01217
$(eval
$(call
template,issue_01217,issue_01217))
#issue_01225
$(eval
$(call
template,issue_01225,issue_01225))
#issue_01259
$(eval
$(call
template,issue_01259,issue_01259))
...
...
regression/scripts/issue_01131.ys
deleted
100644 → 0
View file @
672505c4
tee -o result.log read_verilog ../top.v
regression/scripts/issue_01217.ys
deleted
100644 → 0
View file @
672505c4
tee -o result.log read_verilog ../top.v
regression_revised/Makefile
View file @
30151c06
...
...
@@ -443,6 +443,46 @@ $(eval $(call template,issue_01070,issue_01070))
#issue_01084
$(eval
$(call
template,issue_01084,issue_01084))
#issue_01091
$(eval
$(call
template,issue_01091,issue_01091))
#issue_01093
$(eval
$(call
template,issue_01093,issue_01093_fail))
#issue_01115
$(eval
$(call
template,issue_01115,issue_01115))
#issue_01118
$(eval
$(call
template,issue_01118,issue_01118))
#issue_01128
$(eval
$(call
template,issue_01128,issue_01128))
#issue_01131
$(eval
$(call
template,issue_01131,issue_01131_fail))
#issue_01132
$(eval
$(call
template,issue_01132,issue_01132))
#issue_01135
$(eval
$(call
template,issue_01135,issue_01135))
#issue_01144
$(eval
$(call
template,issue_01144,issue_01144_fail))
#issue_01145
$(eval
$(call
template,issue_01145,issue_01145))
#issue_01220
$(eval
$(call
template,issue_01220,issue_01220))
#issue_01223
$(eval
$(call
template,issue_01223,issue_01223))
#issue_01231
$(eval
$(call
template,issue_01231,issue_01231))
#Still open bugs (should be failed):
#issue_00329
$(eval
$(call
template,issue_00329,issue_00329))
...
...
@@ -453,4 +493,25 @@ $(eval $(call template,issue_00623,issue_00623))
#issue_00656
$(eval
$(call
template,issue_00656,issue_00656))
#issue_01126
$(eval
$(call
template,issue_01126,issue_01126))
#issue_01161
$(eval
$(call
template,issue_01161,issue_01161))
#issue_01193
$(eval
$(call
template,issue_01193,issue_01193))
#issue_01206
$(eval
$(call
template,issue_01206,issue_01206))
#issue_01216
$(eval
$(call
template,issue_01216,issue_01216))
#issue_01217
$(eval
$(call
template,issue_01217,issue_01217))
#issue_01225
$(eval
$(call
template,issue_01225,issue_01225))
.PHONY
:
all clean
regression
/scripts
/issue_01091.ys
→
regression
_revised/issue_01091
/issue_01091.ys
View file @
30151c06
...
...
@@ -3,6 +3,5 @@ proc
opt
techmap
muxcover -nopartial
stat
select -assert-count 1 t:$_MUX4_
select -assert-none t:$_MUX4_ %% t:* %D
regression/issue_01091/top.v
→
regression
_revised
/issue_01091/top.v
View file @
30151c06
File moved
regression_revised/issue_01093/issue_01093_fail.pat
0 → 100644
View file @
30151c06
ERROR: Design has no top module, use the 'hierarchy' command to specify one.
regression
/scripts/issue_01093
.ys
→
regression
_revised/issue_01093/issue_01093_fail
.ys
View file @
30151c06
read_verilog ../top.v
proc
tee -o result.log
sim
sim
regression/issue_01093/top.v
→
regression
_revised
/issue_01093/top.v
View file @
30151c06
File moved
regression_revised/issue_01115/issue_01115.pat
0 → 100644
View file @
30151c06
connect \\\o 33'xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
regression
/scripts
/issue_01115.ys
→
regression
_revised/issue_01115
/issue_01115.ys
View file @
30151c06
read_verilog ../top.v
proc
tee -o result.
log
dump
tee -o result.
out
dump
regression/issue_01115/top.v
→
regression
_revised
/issue_01115/top.v
View file @
30151c06
File moved
regression
/scripts/issue_01144
.ys
→
regression
_revised/issue_01118/issue_01118
.ys
View file @
30151c06
read_verilog ../top.v
tee -o result.log stat
regression/issue_01118/top.v
→
regression
_revised
/issue_01118/top.v
View file @
30151c06
File moved
regression_revised/issue_01126/issue_01126.pat
0 → 100644
View file @
30151c06
assign d = c\\[5:0\\]
regression
/scripts
/issue_01126.ys
→
regression
_revised/issue_01126
/issue_01126.ys
View file @
30151c06
read_verilog ../top.v
write_verilog result.
log
write_verilog result.
out
#write_json test_synth.json
regression/issue_01126/top.v
→
regression
_revised
/issue_01126/top.v
View file @
30151c06
File moved
regression
/scripts
/issue_01128.ys
→
regression
_revised/issue_01128
/issue_01128.ys
View file @
30151c06
File moved
regression/issue_01128/top.v
→
regression
_revised
/issue_01128/top.v
View file @
30151c06
File moved
regression_revised/issue_01131/issue_01131_fail.pat
0 → 100644
View file @
30151c06
ERROR: Value conversion failed:
regression
/scripts/issue_01118
.ys
→
regression
_revised/issue_01131/issue_01131_fail
.ys
View file @
30151c06
read_verilog ../top.v
proc
tee -o result.log dump
regression/issue_01131/top.v
→
regression
_revised
/issue_01131/top.v
View file @
30151c06
File moved
regression
/scripts
/issue_01132.ys
→
regression
_revised/issue_01132
/issue_01132.ys
View file @
30151c06
File moved
regression/issue_01132/top.v
→
regression
_revised
/issue_01132/top.v
View file @
30151c06
File moved
regression
/scripts
/issue_01135.ys
→
regression
_revised/issue_01135
/issue_01135.ys
View file @
30151c06
File moved
regression/issue_01135/top.v
→
regression
_revised
/issue_01135/top.v
View file @
30151c06
File moved
regression
/scripts/issue_01216
.ys
→
regression
_revised/issue_01144/issue_01144_fail
.ys
View file @
30151c06
read_verilog ../top.v
tee -o result.log synth_ice40 -top top
tee -o result.log write_blif test.blif
regression/issue_01144/top.v
→
regression
_revised
/issue_01144/top.v
View file @
30151c06
File moved
regression
/scripts
/issue_01145.ys
→
regression
_revised/issue_01145
/issue_01145.ys
View file @
30151c06
File moved
regression/issue_01145/top.sv
→
regression
_revised
/issue_01145/top.sv
View file @
30151c06
File moved
regression_revised/issue_01161/issue_01161.pat
0 → 100644
View file @
30151c06
assign z0 = b
regression
/scripts
/issue_01161.ys
→
regression
_revised/issue_01161
/issue_01161.ys
View file @
30151c06
...
...
@@ -7,4 +7,4 @@ write_verilog result_no_opt.log
equiv_opt -assert opt_clean
opt_clean
write_verilog result.
log
write_verilog result.
out
regression/issue_01161/top.v
→
regression
_revised
/issue_01161/top.v
View file @
30151c06
File moved
regression
/scripts
/issue_01193.ys
→
regression
_revised/issue_01193
/issue_01193.ys
View file @
30151c06
read_verilog -sv ../top.v
proc
select -assert-count 0 t:$dlatch
tee -o result.log dump
regression/issue_01193/top.v
→
regression
_revised
/issue_01193/top.v
View file @
30151c06
File moved
regression
/scripts
/issue_01206.ys
→
regression
_revised/issue_01206
/issue_01206.ys
View file @
30151c06
read_ilang ../top.il
tee -o result.log
proc_init
proc_init
regression/issue_01206/top.il
→
regression
_revised
/issue_01206/top.il
View file @
30151c06
File moved
regression_revised/issue_01216/issue_01216.ys
0 → 100644
View file @
30151c06
read_verilog ../top.v
synth_ice40 -top top
write_blif test.blif
regression/issue_01216/top.v
→
regression
_revised
/issue_01216/top.v
View file @
30151c06
File moved
regression_revised/issue_01217/issue_01217.ys
0 → 100644
View file @
30151c06
tee -o result.out read_verilog ../top.v
regression_revised/issue_01217/issue_01217_n.pat
0 → 100644
View file @
30151c06
is implicitly declared.
regression/issue_01217/top.v
→
regression
_revised
/issue_01217/top.v
View file @
30151c06
File moved
regression
/scripts
/issue_01220.ys
→
regression
_revised/issue_01220
/issue_01220.ys
View file @
30151c06
File moved
regression/issue_01220/top.v
→
regression
_revised
/issue_01220/top.v
View file @
30151c06
File moved
regression
/scripts
/issue_01223.ys
→
regression
_revised/issue_01223
/issue_01223.ys
View file @
30151c06
File moved
regression/issue_01223/top.v
→
regression
_revised
/issue_01223/top.v
View file @
30151c06
File moved
regression
/scripts
/issue_01225.ys
→
regression
_revised/issue_01225
/issue_01225.ys
View file @
30151c06
File moved
regression/issue_01225/top.v
→
regression
_revised
/issue_01225/top.v
View file @
30151c06
File moved
regression
/scripts
/issue_01231.ys
→
regression
_revised/issue_01231
/issue_01231.ys
View file @
30151c06
File moved
regression/issue_01231/top.v
→
regression
_revised
/issue_01231/top.v
View file @
30151c06
File moved
Write
Preview
Markdown
is supported
0%
Try again
or
attach a new file
Attach a file
Cancel
You are about to add
0
people
to the discussion. Proceed with caution.
Finish editing this message first!
Cancel
Please
register
or
sign in
to comment