assert.sv 463 Bytes
Newer Older
1 2 3 4 5 6 7
module Module(input clock, input clear, input data);
    logic x, y;
    assign y = data;
    assign x = y;
    assert property (
        @(posedge clock) disable iff(clear) x == y
    );
8 9 10
    named: assert property (
        @(posedge clock) disable iff(clear) x == y
    );
11 12 13
    task hello;
        $display("Hello!");
    endtask
14 15 16 17
    always @(posedge clock) begin
        assert property (x == y);
        named_stmt: assert property (x == y);
    end
18
endmodule