interface_task.v 435 Bytes
Newer Older
1 2 3 4 5
module top;
    task i_x;
        input reg [31:0] i;
        $display("I x(%0d)", i);
    endtask
6 7
    reg [31:0] w = 31;
    reg [31:0] y = 42;
8 9 10 11 12 13 14 15 16 17 18 19 20 21
    task x;
        input reg [31:0] a, b;
        $display("x('{%0d, %0d})", a, b);
    endtask
    task automatic z;
        input reg [31:0] a, b;
        $display("z('{%0d, %0d})", a, b);
    endtask
    initial begin
        i_x(y);
        x(w, y);
        z(w, y);
    end
endmodule