Name |
Last commit
|
Last update |
---|---|---|
.. | ||
synth_achronix | Loading commit data... | |
synth_anlogic | Loading commit data... | |
synth_coolrunner2 | Loading commit data... | |
synth_coolrunner2_lcov | Loading commit data... | |
synth_easic | Loading commit data... | |
synth_ecp5 | Loading commit data... | |
synth_efinix | Loading commit data... | |
synth_gowin | Loading commit data... | |
synth_greenpak4 | Loading commit data... | |
synth_ice40 | Loading commit data... | |
synth_ice40_dsp | Loading commit data... | |
synth_intel | Loading commit data... | |
synth_sf2 | Loading commit data... | |
synth_sf2_lcov | Loading commit data... | |
synth_xilinx | Loading commit data... | |
synth_xilinx_dsp | Loading commit data... | |
synth_xilinx_mux | Loading commit data... | |
synth_xilinx_srl | Loading commit data... | |
xilinx_ug901_synthesis_examples | Loading commit data... | |
.gitignore | Loading commit data... | |
Makefile | Loading commit data... | |
common.v | Loading commit data... |