Commit f8834f1b by Clifford Wolf

Update verific/sva/run.sh for new sby multiclock handling

Signed-off-by: Clifford Wolf <clifford@clifford.at>
parent b4a7fc1a
......@@ -18,8 +18,6 @@ while read t; do
echo "verific -sv $1.sv"
echo "verific -import $t"
echo "prep -nordff -top $t"
echo "chformal -assume -early"
echo "opt_clean"
echo ""
echo "[files]"
echo "$1.sv"
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment