Skip to content
Projects
Groups
Snippets
Help
This project
Loading...
Sign in / Register
Toggle navigation
Y
yosys-tests
Overview
Overview
Details
Activity
Cycle Analytics
Repository
Repository
Files
Commits
Branches
Tags
Contributors
Graph
Compare
Charts
Issues
0
Issues
0
List
Board
Labels
Milestones
Merge Requests
0
Merge Requests
0
CI / CD
CI / CD
Pipelines
Jobs
Schedules
Charts
Wiki
Wiki
Snippets
Snippets
Members
Members
Collapse sidebar
Close sidebar
Activity
Graph
Charts
Create a new issue
Jobs
Commits
Issue Boards
Open sidebar
lvzhengyang
yosys-tests
Commits
f0c85bdb
Commit
f0c85bdb
authored
Mar 20, 2019
by
Eddie Hung
Browse files
Options
Browse Files
Download
Email Patches
Plain Diff
Add test15 and test16
parent
9f7dd41b
Show whitespace changes
Inline
Side-by-side
Showing
4 changed files
with
284 additions
and
2 deletions
+284
-2
architecture/synth_xilinx_srl/test15.ys
+137
-0
architecture/synth_xilinx_srl/test16.ys
+137
-0
architecture/synth_xilinx_srl/testbench.v
+1
-1
architecture/synth_xilinx_srl/top.v
+9
-1
No files found.
architecture/synth_xilinx_srl/test15.ys
0 → 100644
View file @
f0c85bdb
read_verilog -icells -DTEST15 ../top.v
synth_xilinx
rename -top synth
clean -purge
write_verilog -noexpr -norename synth15.v
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[0].sr; select t:FD* -assert-count 1; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 4; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[1].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 4; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[2].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 4; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[3].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 4; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[4].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 4; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[5].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 4; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[6].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 4; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[7].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 4; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[8].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 4; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[9].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 4; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[10].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 4; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[11].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 4; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[12].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 4; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[13].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 4; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[14].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 4; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[15].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 4; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[16].sr; select t:FD* -assert-count 1; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 4; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[17].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 5; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[18].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 5; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[19].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 5; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[20].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 5; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[21].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 5; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[22].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 5; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[23].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 5; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[24].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 5; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[25].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 5; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[26].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 5; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[27].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 5; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[28].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 5; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[29].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 5; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[30].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 5; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[31].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 5; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[32].sr; select t:FD* -assert-count 1; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 5; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[33].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 5; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[34].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 5; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[35].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 5; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[36].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 5; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[37].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 5; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[38].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 5; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[39].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 5; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[40].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 5; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[41].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 5; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[42].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 5; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[43].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 5; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[44].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 5; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[45].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 5; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[46].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 5; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[47].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 5; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[48].sr; select t:FD* -assert-count 1; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 5; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[49].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 6; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[50].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 6; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[51].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 6; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[52].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 6; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[53].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 6; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[54].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 6; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[55].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 6; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[56].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 6; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[57].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 6; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[58].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 6; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[59].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 6; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[60].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 6; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[61].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 6; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[62].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 6; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[63].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 6; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[64].sr; select t:FD* -assert-count 1; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 6; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[65].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 6; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[66].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 6; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[67].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 6; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[68].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 6; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[69].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 6; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[70].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 6; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[71].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 6; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[72].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 6; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[73].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 6; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[74].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 6; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[75].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 6; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[76].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 6; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[77].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 6; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[78].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 6; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[79].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 6; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[80].sr; select t:FD* -assert-count 1; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 6; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[81].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 7; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[82].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 7; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[83].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 7; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[84].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 7; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[85].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 7; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[86].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 7; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[87].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 7; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[88].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 7; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[89].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 7; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[90].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 7; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[91].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 7; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[92].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 7; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[93].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 7; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[94].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 7; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[95].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 7; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[96].sr; select t:FD* -assert-count 1; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 7; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[97].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 7; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[98].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 7; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[99].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 7; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[100].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 7; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[101].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 7; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[102].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 7; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[103].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 7; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[104].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 7; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[105].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 7; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[106].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 7; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[107].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 7; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[108].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 7; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[109].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 7; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[110].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 7; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[111].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 7; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[112].sr; select t:FD* -assert-count 1; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 7; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[113].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 8; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[114].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 8; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[115].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 8; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[116].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 8; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[117].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 8; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[118].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 8; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[119].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 8; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[120].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 8; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[121].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 8; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[122].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 8; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[123].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 8; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[124].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 8; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[125].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 8; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[126].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 8; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[127].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 8; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[128].sr; select t:FD* -assert-count 1; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 8; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[129].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 8; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
cd synth; cd pos_clk_no_enable_no_init_not_inferred_long[130].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 8; select t:FD* t:SRL16E t:SRLC32E %% %n t:* %i -assert-none
architecture/synth_xilinx_srl/test16.ys
0 → 100644
View file @
f0c85bdb
read_verilog -icells -DTEST16 ../top.v
synth_xilinx
rename -top synth
clean -purge
write_verilog synth16.v
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[0].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 4; select t:MUXF7 -assert-count 2; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[1].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 4; select t:MUXF7 -assert-count 2; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[2].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 4; select t:MUXF7 -assert-count 2; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[3].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 4; select t:MUXF7 -assert-count 2; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[4].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 4; select t:MUXF7 -assert-count 2; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[5].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 4; select t:MUXF7 -assert-count 2; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[6].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 4; select t:MUXF7 -assert-count 2; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[7].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 4; select t:MUXF7 -assert-count 2; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[8].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 4; select t:MUXF7 -assert-count 2; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[9].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 4; select t:MUXF7 -assert-count 2; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[10].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 4; select t:MUXF7 -assert-count 2; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[11].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 4; select t:MUXF7 -assert-count 2; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[12].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 4; select t:MUXF7 -assert-count 2; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[13].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 4; select t:MUXF7 -assert-count 2; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[14].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 4; select t:MUXF7 -assert-count 2; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[15].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 4; select t:MUXF7 -assert-count 2; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[16].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 5; select t:MUXF7 -assert-count 2; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[17].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 5; select t:MUXF7 -assert-count 2; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[18].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 5; select t:MUXF7 -assert-count 2; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[19].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 5; select t:MUXF7 -assert-count 2; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[20].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 5; select t:MUXF7 -assert-count 2; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[21].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 5; select t:MUXF7 -assert-count 2; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[22].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 5; select t:MUXF7 -assert-count 2; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[23].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 5; select t:MUXF7 -assert-count 2; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[24].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 5; select t:MUXF7 -assert-count 2; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[25].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 5; select t:MUXF7 -assert-count 2; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[26].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 5; select t:MUXF7 -assert-count 2; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[27].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 5; select t:MUXF7 -assert-count 2; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[28].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 5; select t:MUXF7 -assert-count 2; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[29].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 5; select t:MUXF7 -assert-count 2; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[30].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 5; select t:MUXF7 -assert-count 2; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[31].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 5; select t:MUXF7 -assert-count 2; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[32].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 5; select t:MUXF7 -assert-count 3; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[33].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 5; select t:MUXF7 -assert-count 3; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[34].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 5; select t:MUXF7 -assert-count 3; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[35].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 5; select t:MUXF7 -assert-count 3; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[36].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 5; select t:MUXF7 -assert-count 3; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[37].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 5; select t:MUXF7 -assert-count 3; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[38].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 5; select t:MUXF7 -assert-count 3; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[39].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 5; select t:MUXF7 -assert-count 3; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[40].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 5; select t:MUXF7 -assert-count 3; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[41].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 5; select t:MUXF7 -assert-count 3; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[42].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 5; select t:MUXF7 -assert-count 3; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[43].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 5; select t:MUXF7 -assert-count 3; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[44].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 5; select t:MUXF7 -assert-count 3; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[45].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 5; select t:MUXF7 -assert-count 3; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[46].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 5; select t:MUXF7 -assert-count 3; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[47].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 5; select t:MUXF7 -assert-count 3; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[48].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 6; select t:MUXF7 -assert-count 3; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[49].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 6; select t:MUXF7 -assert-count 3; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[50].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 6; select t:MUXF7 -assert-count 3; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[51].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 6; select t:MUXF7 -assert-count 3; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[52].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 6; select t:MUXF7 -assert-count 3; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[53].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 6; select t:MUXF7 -assert-count 3; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[54].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 6; select t:MUXF7 -assert-count 3; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[55].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 6; select t:MUXF7 -assert-count 3; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[56].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 6; select t:MUXF7 -assert-count 3; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[57].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 6; select t:MUXF7 -assert-count 3; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[58].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 6; select t:MUXF7 -assert-count 3; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[59].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 6; select t:MUXF7 -assert-count 3; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[60].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 6; select t:MUXF7 -assert-count 3; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[61].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 6; select t:MUXF7 -assert-count 3; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[62].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 6; select t:MUXF7 -assert-count 3; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[63].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 6; select t:MUXF7 -assert-count 3; select t:MUXF8 -assert-count 1; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[64].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 6; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[65].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 6; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[66].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 6; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[67].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 6; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[68].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 6; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[69].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 6; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[70].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 6; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[71].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 6; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[72].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 6; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[73].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 6; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[74].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 6; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[75].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 6; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[76].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 6; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[77].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 6; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[78].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 6; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[79].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 6; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[80].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 7; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[81].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 7; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[82].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 7; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[83].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 7; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[84].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 7; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[85].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 7; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[86].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 7; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[87].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 7; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[88].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 7; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[89].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 7; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[90].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 7; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[91].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 7; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[92].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 7; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[93].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 7; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[94].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 7; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[95].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 7; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[96].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 7; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[97].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 7; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[98].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 7; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[99].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 7; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[100].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 7; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[101].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 7; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[102].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 7; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[103].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 7; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[104].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 7; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[105].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 7; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[106].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 7; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[107].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 7; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[108].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 7; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[109].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 7; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[110].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 7; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[111].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 7; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[112].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 8; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[113].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 8; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[114].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 8; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[115].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 8; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[116].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 8; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[117].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 8; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[118].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 8; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[119].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 8; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[120].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 8; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[121].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 8; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[122].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 8; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[123].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 8; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[124].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 8; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[125].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 8; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[126].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 8; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[127].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 8; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 1; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[128].sr; select t:FD* -assert-count 1; select t:SRL16E -assert-count 0; select t:SRLC32E -assert-count 8; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 2; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[129].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 8; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 2; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
cd synth; cd neg_clk_with_enable_with_init_inferred_var_len_long[130].sr; select t:FD* -assert-count 0; select t:SRL16E -assert-count 1; select t:SRLC32E -assert-count 8; select t:MUXF7 -assert-count 4; select t:MUXF8 -assert-count 2; select t:LUT3 -assert-count 2; select t:FD* t:SRL16E t:SRLC32E t:LUT* t:MUXF* %% %n t:* %i -assert-none
architecture/synth_xilinx_srl/testbench.v
View file @
f0c85bdb
...
...
@@ -18,7 +18,7 @@ module testbench;
reg
[
`N
-
1
:
0
]
a
;
reg
e
,
r
;
reg
[
$
clog2
(
`N
)
-
1
:
0
]
l
;
reg
[
3
1
:
0
]
l
;
wire
[
`N
-
1
:
0
]
y
;
wire
[
`N
-
1
:
0
]
z
;
...
...
architecture/synth_xilinx_srl/top.v
View file @
f0c85bdb
`include
"defines.vh"
module
top
(
input
clk
,
input
[
`N
-
1
:
0
]
a
,
input
e
,
r
,
input
[
$
clog2
(
`N
)
-
1
:
0
]
l
,
output
[
`N
-
1
:
0
]
z
)
;
module
top
(
input
clk
,
input
[
`N
-
1
:
0
]
a
,
input
e
,
r
,
input
[
3
1
:
0
]
l
,
output
[
`N
-
1
:
0
]
z
)
;
generate
genvar
i
;
`ifdef
TEST1
...
...
@@ -67,6 +67,14 @@ generate
shift_registers_1
sr1
(
.
clk
(
clk
)
,
.
clken
(
e
)
,
.
SI
(
a
[
1
])
,
.
SO
(
z
[
1
]))
;
dynamic_shift_register_1
sr2
(
.
CLK
(
clk
)
,
.
CE
(
e
)
,
.
SEL
(
l
[
4
:
0
])
,
.
SI
(
a
[
2
])
,
.
DO
(
z
[
2
]))
;
assign
z
[
`N
-
1
:
3
]
=
'b0
;
// Suppress no driver warning
`elsif
TEST15
for
(
i
=
0
;
i
<
`N
;
i
=
i
+
1
)
begin
:
pos_clk_no_enable_no_init_not_inferred_long
shift_reg
#(
.
depth
(
i
+
128
+
1
))
sr
(
clk
,
a
[
i
]
,
1'b1
,
/*l*/
,
z
[
i
]
,
/* state */
)
;
end
`elsif
TEST16
for
(
i
=
0
;
i
<
`N
;
i
=
i
+
1
)
begin
:
neg_clk_with_enable_with_init_inferred_var_len_long
shift_reg
#(
.
depth
(
i
+
128
+
1
)
,
.
neg_clk
(
1
)
,
.
inferred
(
1
)
,
.
init
(
1
)
,
.
fixed_length
(
0
))
sr
(
clk
,
a
[
i
]
,
e
,
l
[$
clog2
(
i
+
128
+
1
)
-
1
:
0
]
,
z
[
i
]
,
/* state */
)
;
end
`endif
endgenerate
endmodule
...
...
Write
Preview
Markdown
is supported
0%
Try again
or
attach a new file
Attach a file
Cancel
You are about to add
0
people
to the discussion. Proceed with caution.
Finish editing this message first!
Cancel
Please
register
or
sign in
to comment