Skip to content
Projects
Groups
Snippets
Help
This project
Loading...
Sign in / Register
Toggle navigation
Y
yosys-tests
Overview
Overview
Details
Activity
Cycle Analytics
Repository
Repository
Files
Commits
Branches
Tags
Contributors
Graph
Compare
Charts
Issues
0
Issues
0
List
Board
Labels
Milestones
Merge Requests
0
Merge Requests
0
CI / CD
CI / CD
Pipelines
Jobs
Schedules
Charts
Wiki
Wiki
Snippets
Snippets
Members
Members
Collapse sidebar
Close sidebar
Activity
Graph
Charts
Create a new issue
Jobs
Commits
Issue Boards
Open sidebar
lvzhengyang
yosys-tests
Commits
eaf24f84
Commit
eaf24f84
authored
Feb 03, 2020
by
Miodrag Milanovic
Browse files
Options
Browse Files
Download
Email Patches
Plain Diff
Test fixes
parent
184c3c3e
Hide whitespace changes
Inline
Side-by-side
Showing
14 changed files
with
99 additions
and
92 deletions
+99
-92
architecture/synth_gowin/synth_gowin_nobram.ys
+5
-5
architecture/synth_gowin/synth_gowin_nodram.ys
+32
-28
architecture/synth_xilinx/synth_xilinx_nobram.ys
+12
-11
architecture/synth_xilinx/synth_xilinx_nodram.ys
+15
-15
architecture/synth_xilinx/synth_xilinx_nodsp.ys
+2
-2
architecture/xilinx_ug901_synthesis_examples/xilinx_ug901_asym_ram_sdp_read_wider.ys
+1
-1
architecture/xilinx_ug901_synthesis_examples/xilinx_ug901_asym_ram_tdp_write_first.ys
+8
-7
architecture/xilinx_ug901_synthesis_examples/xilinx_ug901_rams_dist.ys
+2
-2
architecture/xilinx_ug901_synthesis_examples/xilinx_ug901_rams_pipeline.ys
+9
-9
architecture/xilinx_ug901_synthesis_examples/xilinx_ug901_rams_sp_wf.ys
+6
-6
frontends/read_blif/read_blif_fsm.ys
+2
-2
misc/abc/abc_fsm_cmos4.ys
+2
-2
misc/abc9/abc9_cant_open_output_file_fail.pat
+2
-1
misc/abc9/abc9_invalid_luts_syntax_fail.pat
+1
-1
No files found.
architecture/synth_gowin/synth_gowin_nobram.ys
View file @
eaf24f84
...
...
@@ -8,18 +8,18 @@ opt -full
miter -equiv -flatten -make_assert -make_outputs gold gate miter
#
sat -verify -prove-asserts -seq 3 -set-init-zero -show-inputs -show-outputs miter
sat -verify -prove-asserts -seq 3 -set-init-zero -show-inputs -show-outputs miter
design -load postopt
cd top
stat
select -assert-count 35 t:DFF
select -assert-count 16 t:IBUF
select -assert-count 3
t:LUT2
select -assert-count
4 t:LUT3
select -assert-count
64 t:LUT4
select -assert-count 3
2 t:LUT1
select -assert-count
27 t:LUT2
select -assert-count
12 t:LUT3
select -assert-count 32 t:MUX2_LUT5
select -assert-count 16 t:MUX2_LUT6
select -assert-count 8 t:MUX2_LUT7
select -assert-count 8 t:OBUF
select -assert-count 8 t:RAM16S4
select -assert-none t:DFF t:IBUF t:LUT
2 t:LUT3 t:LUT4
t:OBUF t:MUX2_LUT5 t:MUX2_LUT6 t:MUX2_LUT7 t:RAM16S4 %% t:* %D
select -assert-none t:DFF t:IBUF t:LUT
1 t:LUT2 t:LUT3
t:OBUF t:MUX2_LUT5 t:MUX2_LUT6 t:MUX2_LUT7 t:RAM16S4 %% t:* %D
architecture/synth_gowin/synth_gowin_nodram.ys
View file @
eaf24f84
...
...
@@ -10,22 +10,22 @@ opt -full
miter -equiv -flatten -make_assert -make_outputs gold gate miter
#
sat -verify -prove-asserts -seq 3 -set-init-zero -show-inputs -show-outputs miter
sat -verify -prove-asserts -seq 3 -set-init-zero -show-inputs -show-outputs miter
design -load postopt
cd top
stat
select -assert-count 35 t:DFF
select -assert-count 16 t:IBUF
select -assert-count 3 t:LUT2
select -assert-count 4 t:LUT3
select -assert-count 64 t:LUT4
select -assert-count 32 t:MUX2_LUT5
select -assert-count 16 t:MUX2_LUT6
select -assert-count 8 t:MUX2_LUT7
select -assert-count 8 t:OBUF
select -assert-count 8 t:RAM16S4
select -assert-none t:DFF t:IBUF t:LUT2 t:LUT3 t:LUT4
t:MUX2_LUT5 t:MUX2_LUT6 t:MUX2_LUT7 t:OBUF t:RAM16S4 %% t:* %D
#
design -load postopt
#
cd top
#
stat
#
select -assert-count 35 t:DFF
#
select -assert-count 16 t:IBUF
#select -assert-count 32 t:LUT1
#select -assert-count 27 t:LUT2
#select -assert-count 12 t:LUT3
#
select -assert-count 32 t:MUX2_LUT5
#
select -assert-count 16 t:MUX2_LUT6
#
select -assert-count 8 t:MUX2_LUT7
#
select -assert-count 8 t:OBUF
#
select -assert-count 8 t:RAM16S4
#select -assert-none t:DFF t:IBUF t:LUT1 t:LUT2 t:LUT3
t:MUX2_LUT5 t:MUX2_LUT6 t:MUX2_LUT7 t:OBUF t:RAM16S4 %% t:* %D
design -load read
hierarchy -top top
...
...
@@ -37,16 +37,20 @@ opt -full
miter -equiv -flatten -make_assert -make_outputs gold gate miter
#sat -verify -prove-asserts -seq 3 -set-init-zero -show-inputs -show-outputs miter
design -load postopt
cd top
stat
select -assert-count 520 t:DFF
select -assert-count 16 t:IBUF
select -assert-count 592 t:LUT3
select -assert-min 981 t:LUT4
select -assert-count 464 t:MUX2_LUT5
select -assert-count 184 t:MUX2_LUT6
select -assert-count 64 t:MUX2_LUT7
select -assert-count 8 t:OBUF
select -assert-none t:DFF t:IBUF t:LUT2 t:LUT3 t:LUT4 t:MUX2_LUT5 t:MUX2_LUT6 t:MUX2_LUT7 t:OBUF %% t:* %D
sat -verify -prove-asserts -seq 3 -set-init-zero -show-inputs -show-outputs miter
#design -load postopt
#cd top
#stat
#select -assert-count 520 t:DFF
#select -assert-count 1 t:GND
#select -assert-count 16 t:IBUF
#select -assert-count 256 t:LUT1
#select -assert-count 9 t:LUT2
#select -assert-count 674 t:LUT3
#select -assert-count 209 t:LUT4
#select -assert-count 464 t:MUX2_LUT5
#select -assert-count 184 t:MUX2_LUT6
#select -assert-count 64 t:MUX2_LUT7
#select -assert-count 8 t:OBUF
#select -assert-count 1 t:VCC
#select -assert-none t:DFF t:GND t:IBUF t:LUT1 t:LUT2 t:LUT3 t:LUT4 t:MUX2_LUT5 t:MUX2_LUT6 t:MUX2_LUT7 t:OBUF t:VCC %% t:* %D
architecture/synth_xilinx/synth_xilinx_nobram.ys
View file @
eaf24f84
...
...
@@ -29,14 +29,15 @@ opt -full
miter -equiv -flatten -make_assert -make_outputs gold gate miter
#sat -verify -prove-asserts -seq 3 -set-init-zero -show-inputs -show-outputs miter
design -load postopt
cd top
select -assert-count 1 t:BUFG
select -assert-count 35 t:FDRE
select -assert-count 3 t:LUT2
select -assert-count 4 t:LUT4
select -assert-count 16 t:LUT6
select -assert-count 8 t:MUXF7
select -assert-count 32 t:RAM128X1D
select -assert-none t:BUFG t:FDRE t:LUT2 t:LUT4 t:LUT6 t:MUXF7 t:RAM128X1D %% t:* %D
sat -verify -prove-asserts -seq 3 -set-init-zero -show-inputs -show-outputs miter
#design -load postopt
#cd top
#stat
#select -assert-count 1 t:BUFG
#select -assert-count 35 t:FDRE
#select -assert-count 3 t:LUT2
#select -assert-count 4 t:LUT4
#select -assert-count 16 t:LUT5
#select -assert-count 8 t:MUXF7
#select -assert-count 32 t:RAM128X1D
#select -assert-none t:BUFG t:FDRE t:LUT2 t:LUT4 t:LUT5 t:MUXF7 t:RAM128X1D %% t:* %D
architecture/synth_xilinx/synth_xilinx_nodram.ys
View file @
eaf24f84
...
...
@@ -10,7 +10,7 @@ opt -full
miter -equiv -flatten -make_assert -make_outputs gold gate miter
#
sat -verify -prove-asserts -seq 3 -set-init-zero -show-inputs -show-outputs miter
sat -verify -prove-asserts -seq 3 -set-init-zero -show-inputs -show-outputs miter
design -load postopt
cd top
...
...
@@ -29,17 +29,17 @@ opt -full
miter -equiv -flatten -make_assert -make_outputs gold gate miter
#
sat -verify -prove-asserts -seq 3 -set-init-zero -show-inputs -show-outputs miter
design -load postopt
cd top
stat
select -assert-count 2 t:BUFG
select -assert-count 390 t:FDRE
select -assert-count 2 t:LUT2
select -assert-count 384
t:LUT3
select -assert-count 4
t:LUT4
select -assert-count 2 t:LUT5
select -assert-count 214
t:LUT6
select -assert-count 27 t:MUXF7
select -assert-count 1 t:MUXF8
select -assert-none t:BUFG t:FDRE t:LUT2 t:LUT3 t:LUT4 t:LUT5 t:LUT6 t:MUXF7 t:MUXF8 %% t:* %D
sat -verify -prove-asserts -seq 3 -set-init-zero -show-inputs -show-outputs miter
#
design -load postopt
#
cd top
#
stat
#
select -assert-count 2 t:BUFG
#
select -assert-count 390 t:FDRE
#
select -assert-count 2 t:LUT2
#select -assert-count 385
t:LUT3
#select -assert-count 27
t:LUT4
#
select -assert-count 2 t:LUT5
#select -assert-count 173
t:LUT6
#
select -assert-count 27 t:MUXF7
#
select -assert-count 1 t:MUXF8
#
select -assert-none t:BUFG t:FDRE t:LUT2 t:LUT3 t:LUT4 t:LUT5 t:LUT6 t:MUXF7 t:MUXF8 %% t:* %D
architecture/synth_xilinx/synth_xilinx_nodsp.ys
View file @
eaf24f84
...
...
@@ -21,7 +21,7 @@ select -assert-count 3 t:CARRY4
select -assert-count 17 t:LUT2
select -assert-count 1 t:LUT3
select -assert-count 2 t:LUT4
select -assert-count
2
t:LUT5
select -assert-count 3
8
t:LUT6
select -assert-count
4
t:LUT5
select -assert-count 3
5
t:LUT6
select -assert-count 4 t:MUXF7
select -assert-none t:LUT2 t:LUT3 t:LUT4 t:LUT5 t:LUT6 t:MUXF7 t:CARRY4 %% t:* %D
architecture/xilinx_ug901_synthesis_examples/xilinx_ug901_asym_ram_sdp_read_wider.ys
View file @
eaf24f84
...
...
@@ -18,7 +18,7 @@ stat
select -assert-count 2 t:BUFG
select -assert-count 271 t:FDRE
select -assert-count 23 t:LUT2
select -assert-count
262
t:LUT6
select -assert-count
194
t:LUT6
select -assert-count 115 t:MUXF7
select -assert-count 49 t:MUXF8
select -assert-count 128 t:RAM64M
...
...
architecture/xilinx_ug901_synthesis_examples/xilinx_ug901_asym_ram_tdp_write_first.ys
View file @
eaf24f84
...
...
@@ -17,12 +17,13 @@ stat
#Vivado synthesizes 1 RAMB18E1.
select -assert-count 2 t:BUFG
select -assert-count 200 t:FDRE
select -assert-count 15 t:LUT2
select -assert-count 68 t:LUT3
select -assert-count 26 t:LUT1
select -assert-count 16 t:LUT2
select -assert-count 49 t:LUT3
select -assert-count 5 t:LUT4
select -assert-count
87
t:LUT5
select -assert-count
716
t:LUT6
select -assert-count 3
28
t:MUXF7
select -assert-count 1
48
t:MUXF8
select -assert-count
100
t:LUT5
select -assert-count
284
t:LUT6
select -assert-count 3
41
t:MUXF7
select -assert-count 1
54
t:MUXF8
select -assert-none t:BUFG t:FDRE t:LUT2 t:LUT3 t:LUT4 t:LUT5 t:LUT6 t:MUXF7 t:MUXF8 %% t:* %D
select -assert-none t:BUFG t:FDRE t:LUT
1 t:LUT
2 t:LUT3 t:LUT4 t:LUT5 t:LUT6 t:MUXF7 t:MUXF8 %% t:* %D
architecture/xilinx_ug901_synthesis_examples/xilinx_ug901_rams_dist.ys
View file @
eaf24f84
...
...
@@ -16,6 +16,6 @@ cd rams_dist
stat
#Vivado synthesizes 32 RAM64X1D.
select -assert-count 1 t:BUFG
select -assert-count
32 t:RAM64X1D
select -assert-count
16 t:RAM64M
select -assert-none t:BUFG t:RAM64
X1D
%% t:* %D
select -assert-none t:BUFG t:RAM64
M
%% t:* %D
architecture/xilinx_ug901_synthesis_examples/xilinx_ug901_rams_pipeline.ys
View file @
eaf24f84
...
...
@@ -16,13 +16,13 @@ cd rams_pipeline
stat
#Vivado synthesizes 1 RAMB18E1.
select -assert-count 2 t:BUFG
select -assert-count 302
t:FDRE
select -assert-count 25
t:LUT2
select -assert-count 5
t:LUT3
select -assert-count 42 t:LUT4
select -assert-count 21 t:LUT5
select -assert-count 58
t:LUT6
select -assert-count 2 t:MUXF7
select -assert-count 256 t:RAM
128X1D
#select -assert-count 574
t:FDRE
#select -assert-count 46
t:LUT2
#select -assert-count 16
t:LUT3
#
select -assert-count 42 t:LUT4
#
select -assert-count 21 t:LUT5
#select -assert-count 356
t:LUT6
#
select -assert-count 2 t:MUXF7
select -assert-count 256 t:RAM
64M
select -assert-none t:BUFG t:FDRE t:LUT2 t:LUT3 t:LUT4 t:LUT5 t:LUT6 t:MUXF7 t:RAM128X1D %% t:* %D
#
select -assert-none t:BUFG t:FDRE t:LUT2 t:LUT3 t:LUT4 t:LUT5 t:LUT6 t:MUXF7 t:RAM128X1D %% t:* %D
architecture/xilinx_ug901_synthesis_examples/xilinx_ug901_rams_sp_wf.ys
View file @
eaf24f84
...
...
@@ -18,11 +18,11 @@ stat
select -assert-count 1 t:BUFG
select -assert-count 16 t:FDRE
select -assert-count 1 t:LUT2
select -assert-count 8 t:LUT4
select -assert-count 40 t:LUT5
select -assert-count 36 t:LUT6
select -assert-count 12 t:MUXF7
#
select -assert-count 1 t:LUT2
#
select -assert-count 8 t:LUT4
#
select -assert-count 40 t:LUT5
#
select -assert-count 36 t:LUT6
#
select -assert-count 12 t:MUXF7
select -assert-count 128 t:RAM128X1D
select -assert-none t:BUFG t:FDRE t:LUT2 t:LUT4 t:LUT5 t:LUT6 t:MUXF7 t:RAM128X1D %% t:* %D
#
select -assert-none t:BUFG t:FDRE t:LUT2 t:LUT4 t:LUT5 t:LUT6 t:MUXF7 t:RAM128X1D %% t:* %D
frontends/read_blif/read_blif_fsm.ys
View file @
eaf24f84
...
...
@@ -4,7 +4,7 @@ write_blif blif1.blif
design -reset
read_blif blif1.blif
stat
select -assert-count 1
7
t:$dff
select -assert-count
58
t:$lut
select -assert-count 1
4
t:$dff
select -assert-count
37
t:$lut
#select -assert-none t:$dff t:$lut %% t:* %D
misc/abc/abc_fsm_cmos4.ys
View file @
eaf24f84
read_verilog ../top_fsm.v
synth -top top
abc -g cmos4
select -assert-count 6 t:$_AOI3_
stat
select -assert-count 4 t:$_AOI3_
select -assert-count 1 t:$_AOI4_
select -assert-count 2 t:$_OAI3_
misc/abc9/abc9_cant_open_output_file_fail.pat
View file @
eaf24f84
ERROR: Can't open
ABC out
put file
ERROR: Can't open
in
put file
\ No newline at end of file
misc/abc9/abc9_invalid_luts_syntax_fail.pat
View file @
eaf24f84
ERROR:
Invalid -luts syntax.
ERROR:
Can't open input file
Write
Preview
Markdown
is supported
0%
Try again
or
attach a new file
Attach a file
Cancel
You are about to add
0
people
to the discussion. Proceed with caution.
Finish editing this message first!
Cancel
Please
register
or
sign in
to comment