Commit b5cb2660 by Miodrag Milanovic

various issues causing iverilog to give bad result

parent 58b1f76a
...@@ -9,11 +9,6 @@ module top ...@@ -9,11 +9,6 @@ module top
output cout output cout
); );
initial begin
A = 0;
cout = 0;
end
`ifndef BUG `ifndef BUG
assign A = y + cin; assign A = y + cin;
assign cout = y + A; assign cout = y + A;
......
...@@ -9,11 +9,6 @@ module top ...@@ -9,11 +9,6 @@ module top
output cout output cout
); );
initial begin
A = 0;
cout = 0;
end
`ifndef BUG `ifndef BUG
assign A = y + cin; assign A = y + cin;
assign cout = y + A; assign cout = y + A;
......
module testbench; module testbench;
reg [2:0] in; reg [2:0] in;
wire patt_out; wire patt_out = 0;
wire patt_carry_out; wire patt_carry_out = 0;
wire out; wire out = 0;
wire carryout; wire carryout = 0;
initial begin initial begin
// $dumpfile("testbench.vcd"); // $dumpfile("testbench.vcd");
......
...@@ -5,8 +5,8 @@ module top ...@@ -5,8 +5,8 @@ module top
input cin, input cin,
input clk, input clk,
output A, output reg A,
output cout output reg cout
); );
initial begin initial begin
......
...@@ -85,7 +85,8 @@ input [15:0] D, ...@@ -85,7 +85,8 @@ input [15:0] D,
output M2,M4,M8,M16 output M2,M4,M8,M16
); );
wire a,b,c,d,e,f,g,h; wire a,c,d,e,g;
reg b,h,f;
always @(*) always @(*)
begin begin
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment