Commit 754821f8 by Clifford Wolf

Minor framework fixes for "simple/*" tests

Signed-off-by: Clifford Wolf <clifford@clifford.at>
parent ec336f80
...@@ -9,7 +9,7 @@ export YOSYS_NOVERIFIC=1 ...@@ -9,7 +9,7 @@ export YOSYS_NOVERIFIC=1
endif endif
all: $(addsuffix /.stamp,$(SUBDIRS)) all: $(addsuffix /.stamp,$(SUBDIRS))
echo; find * -name "*.status" | sort | xargs grep -H . | sed 's,^, ,; s,.status:,\t,; s,PASS,pass,;' | expand -t40; echo echo; find * -name "*.status" | sort | xargs grep -H . | sed 's,^, ,; s,.status:,\t,; s,PASS,pass,;' | expand -t80; echo
touch .stamp touch .stamp
%/.stamp: %/.stamp:
......
...@@ -17,7 +17,7 @@ elif [ "$2" = "falsify" ]; then ...@@ -17,7 +17,7 @@ elif [ "$2" = "falsify" ]; then
iverilog -DBUG -o testbench ../testbench.v ../../common.v ../top.v iverilog -DBUG -o testbench ../testbench.v ../../common.v ../top.v
else else
yosys -ql yosys.log ../../scripts/$2.ys yosys -ql yosys.log ../../scripts/$2.ys
iverilog -o testbench ../testbench.v ../../common.v synth.v $(yosys-config --datdir/common/simcells.v) iverilog -o testbench ../testbench.v ../../common.v synth.v $(yosys-config --datdir/simcells.v)
fi fi
if [ "$2" = "falsify" ]; then if [ "$2" = "falsify" ]; then
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment