Commit 6e23551e by Eddie Hung

Add dep

parent fbc819f1
...@@ -6,8 +6,8 @@ TARGETS := $(patsubst %.ys,$(OUTDIR)/%.status,$(YS)) ...@@ -6,8 +6,8 @@ TARGETS := $(patsubst %.ys,$(OUTDIR)/%.status,$(YS))
all: $(TARGETS) all: $(TARGETS)
echo ALL PASSED! echo ALL PASSED!
$(OUTDIR)/test%.status: $(OUTDIR)/test%.status: test%.ys
cd $(OUTDIR) && yosys -ql yosys$*.log ../test$*.ys cd $(OUTDIR) && yosys -ql yosys$*.log ../$<
iverilog -DTEST$* $(OUTDIR)/synth$*.v -o $(OUTDIR)/testbench$* testbench.v top.v ../common.v ../../../../techlibs/common/simcells.v ../../../../techlibs/xilinx/cells_sim.v iverilog -DTEST$* $(OUTDIR)/synth$*.v -o $(OUTDIR)/testbench$* testbench.v top.v ../common.v ../../../../techlibs/common/simcells.v ../../../../techlibs/xilinx/cells_sim.v
if ! vvp -N $(OUTDIR)/testbench$* > $(OUTDIR)/testbench$*.log 2>&1; then \ if ! vvp -N $(OUTDIR)/testbench$* > $(OUTDIR)/testbench$*.log 2>&1; then \
grep 'ERROR' $(OUTDIR)/testbench$*.log; \ grep 'ERROR' $(OUTDIR)/testbench$*.log; \
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment