Commit 6dd772bb by Clifford Wolf

Some "output reg" fixes in some of the simple/* tests

Signed-off-by: Clifford Wolf <clifford@clifford.at>
parent 754821f8
......@@ -3,20 +3,24 @@ module tristate (en, i, io, o);
input i;
inout [1:0] io;
output [1:0] o;
reg [1:0] io_buf;
assign io = io_buf;
`ifndef BUG
always @(en or i)
io[0] <= (en)? i : 1'bZ;
io_buf[0] <= (en)? i : 1'bZ;
always @(en or i)
io[1] <= (i)? en : 1'bZ;
io_buf[1] <= (i)? en : 1'bZ;
assign o = (en)? io : 2'bZZ;
`else
always @(en or i)
io[0] <= (en)? ~i : 1'bZ;
io_buf[0] <= (en)? ~i : 1'bZ;
always @(en or i)
io[1] <= (i)? ~en : 1'bZ;
io_buf[1] <= (i)? ~en : 1'bZ;
assign o = (en)? ~io : 2'bZZ;
`endif
......
module tristate (en, i, o);
input en;
input i;
output o;
output reg o;
`ifndef BUG
always @(en or i)
......
module tristate (en, i, o);
input en;
input i;
output o;
output reg o;
always @(en or i)
begin
......
module tristate (en, i, o);
input en;
input i;
output o;
output reg o;
always @(en or i)
`ifndef BUG
......
module tristate (en, i, o);
input en;
input i;
output o;
output reg o;
always @(en or i)
`ifndef BUG
......
module tristate (en, i, o);
input en;
input i;
output o;
output reg o;
always @(en or i)
o <= (en)? i : 1'bZ;
......
module tribuf (en, i, o);
input en;
input i;
output o;
output reg o;
always @*
begin
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment