Skip to content
Projects
Groups
Snippets
Help
This project
Loading...
Sign in / Register
Toggle navigation
Y
yosys-tests
Overview
Overview
Details
Activity
Cycle Analytics
Repository
Repository
Files
Commits
Branches
Tags
Contributors
Graph
Compare
Charts
Issues
0
Issues
0
List
Board
Labels
Milestones
Merge Requests
0
Merge Requests
0
CI / CD
CI / CD
Pipelines
Jobs
Schedules
Charts
Wiki
Wiki
Snippets
Snippets
Members
Members
Collapse sidebar
Close sidebar
Activity
Graph
Charts
Create a new issue
Jobs
Commits
Issue Boards
Open sidebar
lvzhengyang
yosys-tests
Commits
672505c4
Commit
672505c4
authored
Oct 18, 2019
by
SergeyDegtyar
Browse files
Options
Browse Files
Download
Email Patches
Plain Diff
Review and update tests for issues 961-1231
parent
4b51047c
Show whitespace changes
Inline
Side-by-side
Showing
51 changed files
with
81 additions
and
81 deletions
+81
-81
regression/Makefile
+0
-59
regression/scripts/issue_00987.ys
+0
-3
regression/scripts/issue_01084.ys
+0
-1
regression_revised/Makefile
+60
-0
regression_revised/issue_00961/issue_00961.ys
+0
-1
regression_revised/issue_00961/top.v
+0
-0
regression_revised/issue_00968/issue_00968.pat
+1
-0
regression_revised/issue_00968/issue_00968.ys
+1
-2
regression_revised/issue_00968/top.v
+0
-0
regression_revised/issue_00981/issue_00981.ys
+1
-1
regression_revised/issue_00981/top.v
+0
-0
regression_revised/issue_00982/issue_00982.pat
+1
-0
regression_revised/issue_00982/issue_00982.ys
+2
-2
regression_revised/issue_00982/top.v
+0
-0
regression_revised/issue_00987/issue_00987.ys
+1
-0
regression_revised/issue_00987/top.v
+0
-0
regression_revised/issue_00993/issue_00993.ys
+1
-1
regression_revised/issue_00993/top.v
+0
-0
regression_revised/issue_00997/issue_00997.pat
+1
-0
regression_revised/issue_00997/issue_00997.ys
+1
-1
regression_revised/issue_00997/top.v
+0
-0
regression_revised/issue_01002/issue_01002.pat
+1
-0
regression_revised/issue_01002/issue_01002.ys
+1
-2
regression_revised/issue_01002/top.v
+0
-0
regression_revised/issue_01014/issue_01014.ys
+0
-0
regression_revised/issue_01014/top.v
+0
-0
regression_revised/issue_01016/issue_01016.ys
+0
-1
regression_revised/issue_01016/top.v
+0
-0
regression_revised/issue_01022/issue_01022.ys
+0
-0
regression_revised/issue_01022/top.v
+0
-0
regression_revised/issue_01023/issue_01023.ys
+1
-2
regression_revised/issue_01023/top.v
+0
-0
regression_revised/issue_01033/issue_01033.ys
+0
-1
regression_revised/issue_01033/top.v
+0
-0
regression_revised/issue_01034/issue_01034.ys
+1
-1
regression_revised/issue_01034/top.v
+0
-0
regression_revised/issue_01040/issue_01040.pat
+1
-0
regression_revised/issue_01040/issue_01040.ys
+1
-1
regression_revised/issue_01040/top.v
+0
-0
regression_revised/issue_01047/issue_01047.ys
+0
-0
regression_revised/issue_01047/top.v
+0
-0
regression_revised/issue_01063/issue_01063_fail.pat
+1
-0
regression_revised/issue_01063/issue_01063_fail.ys
+0
-0
regression_revised/issue_01063/top.v
+0
-0
regression_revised/issue_01065/issue_01065.ys
+3
-0
regression_revised/issue_01065/issue_01065_n.ys
+1
-0
regression_revised/issue_01065/top.v
+0
-0
regression_revised/issue_01070/issue_01070.ys
+0
-0
regression_revised/issue_01070/top.v
+0
-0
regression_revised/issue_01084/issue_01084.ys
+0
-2
regression_revised/issue_01084/top.v
+0
-0
No files found.
regression/Makefile
View file @
672505c4
...
...
@@ -17,62 +17,6 @@ clean::
))
endef
#issue_00961
$(eval
$(call
template,issue_00961,issue_00961))
#issue_00968
$(eval
$(call
template,issue_00968,issue_00968))
#issue_00981
$(eval
$(call
template,issue_00981,issue_00981))
#issue_00982
$(eval
$(call
template,issue_00982,issue_00982))
#issue_00987
$(eval
$(call
template,issue_00987,issue_00987))
#issue_00993
$(eval
$(call
template,issue_00993,issue_00993))
#issue_00997
$(eval
$(call
template,issue_00997,issue_00997))
#issue_01002
$(eval
$(call
template,issue_01002,issue_01002))
#issue_01016
$(eval
$(call
template,issue_01016,issue_01016))
#issue_01022
$(eval
$(call
template,issue_01022,issue_01022))
#issue_01023
$(eval
$(call
template,issue_01023,issue_01023))
#issue_01033
$(eval
$(call
template,issue_01033,issue_01033))
#issue_01034
$(eval
$(call
template,issue_01034,issue_01034))
#issue_01040
$(eval
$(call
template,issue_01040,issue_01040))
#issue_01047
$(eval
$(call
template,issue_01047,issue_01047))
#issue_01063
$(eval
$(call
template,issue_01063,issue_01063))
#issue_01065
$(eval
$(call
template,issue_01065,issue_01065))
#issue_01070
$(eval
$(call
template,issue_01070,issue_01070))
#issue_01084
$(eval
$(call
template,issue_01084,issue_01084))
#issue_01091
$(eval
$(call
template,issue_01091,issue_01091))
...
...
@@ -135,9 +79,6 @@ $(eval $(call template,issue_01372,issue_01372))
#Still open bugs (should be failed):
#issue_01014
$(eval
$(call
template,issue_01014,issue_01014))
#issue_01126
$(eval
$(call
template,issue_01126,issue_01126))
...
...
regression/scripts/issue_00987.ys
deleted
100644 → 0
View file @
4b51047c
tee -a result.log read_verilog -sv ../top.v
regression/scripts/issue_01084.ys
deleted
100644 → 0
View file @
4b51047c
tee -o result.log read_verilog ../top.v
regression_revised/Makefile
View file @
672505c4
...
...
@@ -383,6 +383,66 @@ $(eval $(call template,issue_00955,issue_00955))
#issue_00956
$(eval
$(call
template,issue_00956,issue_00956))
#issue_00961
$(eval
$(call
template,issue_00961,issue_00961))
#issue_00968
$(eval
$(call
template,issue_00968,issue_00968))
#issue_00981
$(eval
$(call
template,issue_00981,issue_00981))
#issue_00982
$(eval
$(call
template,issue_00982,issue_00982))
#issue_00987
$(eval
$(call
template,issue_00987,issue_00987))
#issue_00993
$(eval
$(call
template,issue_00993,issue_00993))
#issue_00997
$(eval
$(call
template,issue_00997,issue_00997))
#issue_01002
$(eval
$(call
template,issue_01002,issue_01002))
#issue_01014
$(eval
$(call
template,issue_01014,issue_01014))
#issue_01016
$(eval
$(call
template,issue_01016,issue_01016))
#issue_01022
$(eval
$(call
template,issue_01022,issue_01022))
#issue_01023
$(eval
$(call
template,issue_01023,issue_01023))
#issue_01033
$(eval
$(call
template,issue_01033,issue_01033))
#issue_01034
$(eval
$(call
template,issue_01034,issue_01034))
#issue_01040
$(eval
$(call
template,issue_01040,issue_01040))
#issue_01047
$(eval
$(call
template,issue_01047,issue_01047))
#issue_01063
$(eval
$(call
template,issue_01063,issue_01063_fail))
#issue_01065
$(eval
$(call
template,issue_01065,issue_01065))
#issue_01070
$(eval
$(call
template,issue_01070,issue_01070))
#issue_01084
$(eval
$(call
template,issue_01084,issue_01084))
#Still open bugs (should be failed):
#issue_00329
$(eval
$(call
template,issue_00329,issue_00329))
...
...
regression
/scripts/issue_01065
.ys
→
regression
_revised/issue_00961/issue_00961
.ys
View file @
672505c4
read_verilog ../top.v
proc
tee -o result.log opt -fast
regression/issue_00961/top.v
→
regression
_revised
/issue_00961/top.v
View file @
672505c4
File moved
regression_revised/issue_00968/issue_00968.pat
0 → 100644
View file @
672505c4
assign o_value = { 4'hx, i_value }
regression
/scripts
/issue_00968.ys
→
regression
_revised/issue_00968
/issue_00968.ys
View file @
672505c4
read -sv ../top.v
hierarchy -top mcve1
synth
write_verilog result.log
write_verilog result.out
regression/issue_00968/top.v
→
regression
_revised
/issue_00968/top.v
View file @
672505c4
File moved
regression
/scripts
/issue_00981.ys
→
regression
_revised/issue_00981
/issue_00981.ys
View file @
672505c4
read_verilog ../top.v
tee -a result.
log
synth_ice40
tee -a result.
out
synth_ice40
regression/issue_00981/top.v
→
regression
_revised
/issue_00981/top.v
View file @
672505c4
File moved
regression_revised/issue_00982/issue_00982.pat
0 → 100644
View file @
672505c4
parameter \\INIT 1'0
regression
/scripts
/issue_00982.ys
→
regression
_revised/issue_00982
/issue_00982.ys
View file @
672505c4
read_verilog ../top.v
synth_xilinx
tee -a result.log dump
t:FDRE
select -assert-count 3
t:FDRE
tee -a result.out dump t:FDRE
regression/issue_00982/top.v
→
regression
_revised
/issue_00982/top.v
View file @
672505c4
File moved
regression_revised/issue_00987/issue_00987.ys
0 → 100644
View file @
672505c4
read_verilog -sv ../top.v
regression/issue_00987/top.v
→
regression
_revised
/issue_00987/top.v
View file @
672505c4
File moved
regression
/scripts
/issue_00993.ys
→
regression
_revised/issue_00993
/issue_00993.ys
View file @
672505c4
read -formal ../top.v
hierarchy -top top
tee -a result.log
synth
synth
#write_verilog rtl_yosys.v
regression/issue_00993/top.v
→
regression
_revised
/issue_00993/top.v
View file @
672505c4
File moved
regression_revised/issue_00997/issue_00997.pat
0 → 100644
View file @
672505c4
reg \\reg10_reg\[0\] = 1'h0;
regression
/scripts
/issue_00997.ys
→
regression
_revised/issue_00997
/issue_00997.ys
View file @
672505c4
read -formal ../top.v
hierarchy -top top
synth
write_verilog -noattr result.
log
write_verilog -noattr result.
out
regression/issue_00997/top.v
→
regression
_revised
/issue_00997/top.v
View file @
672505c4
File moved
regression_revised/issue_01002/issue_01002.pat
0 → 100644
View file @
672505c4
Estimated number of LCs: 87
regression
/scripts
/issue_01002.ys
→
regression
_revised/issue_01002
/issue_01002.ys
View file @
672505c4
read -sv ../top.v
hierarchy -top onehot -chparam LG 7
tee -a result.log synth_xilinx
tee -a result.out synth_xilinx
regression/issue_01002/top.v
→
regression
_revised
/issue_01002/top.v
View file @
672505c4
File moved
regression
/scripts
/issue_01014.ys
→
regression
_revised/issue_01014
/issue_01014.ys
View file @
672505c4
File moved
regression/issue_01014/top.v
→
regression
_revised
/issue_01014/top.v
View file @
672505c4
File moved
regression
/scripts
/issue_01016.ys
→
regression
_revised/issue_01016
/issue_01016.ys
View file @
672505c4
...
...
@@ -2,4 +2,3 @@ read_verilog -sv ../top.v
proc
wreduce -keepdc
select -assert-count 1 t:$mux
regression/issue_01016/top.v
→
regression
_revised
/issue_01016/top.v
View file @
672505c4
File moved
regression
/scripts
/issue_01022.ys
→
regression
_revised/issue_01022
/issue_01022.ys
View file @
672505c4
File moved
regression/issue_01022/top.v
→
regression
_revised
/issue_01022/top.v
View file @
672505c4
File moved
regression
/scripts
/issue_01023.ys
→
regression
_revised/issue_01023
/issue_01023.ys
View file @
672505c4
read_verilog -icells ../top.v
tee -a result.log techmap
techmap
regression/issue_01023/top.v
→
regression
_revised
/issue_01023/top.v
View file @
672505c4
File moved
regression
/scripts
/issue_01033.ys
→
regression
_revised/issue_01033
/issue_01033.ys
View file @
672505c4
read_verilog ../top.v
synth_xilinx
select -assert-none t:RAM64X1D
regression/issue_01033/top.v
→
regression
_revised
/issue_01033/top.v
View file @
672505c4
File moved
regression
/scripts
/issue_01034.ys
→
regression
_revised/issue_01034
/issue_01034.ys
View file @
672505c4
read_verilog ../top.v
read_verilog
-DUNPACKED
../top.v
synth_xilinx -nodram
select -assert-none t:FDRE
regression/issue_01034/top.v
→
regression
_revised
/issue_01034/top.v
View file @
672505c4
File moved
regression_revised/issue_01040/issue_01040.pat
0 → 100644
View file @
672505c4
.subckt dut_sub a\[2\]=a\[2\] a\[3\]=a\[3\] a\[4\]=a\[4\] a\[5\]=a\[5\] a\[6\]=a\[6\] a\[7\]=a\[7\] a\[8\]=a\[8\] a\[9\]=a\[9\] a\[10\]=a\[10\] a\[11\]=a\[11\] a\[12\]=a\[12\] a\[13\]=a\[13\] a\[14\]=a\[14\] a\[15\]=a\[15\] a\[16\]=a\[16\] a\[17\]=a\[17\] a\[18\]=a\[18\] a\[19\]=a\[19\] a\[20\]=a\[20\] a\[21\]=a\[21\] a\[22\]=a\[22\] a\[23\]=a\[23\] a\[24\]=a\[24\] a\[25\]=a\[25\] a\[26\]=a\[26\] a\[27\]=a\[27\] a\[28\]=a\[28\] a\[29\]=a\[29\] a\[30\]=a\[30\] a\[31\]=a\[31\] a\[32\]=a\[32\] a_l\[2\]=a_l\[2\] a_l\[3\]=a_l\[3\] a_l\[4\]=a_l\[4\] a_l\[5\]=a_l\[5\] a_l\[6\]=a_l\[6\] a_l\[7\]=a_l\[7\] a_l\[8\]=a_l\[8\] a_l\[9\]=a_l\[9\] a_l\[10\]=a_l\[10\] a_l\[11\]=a_l\[11\] a_l\[12\]=a_l\[12\] a_l\[13\]=a_l\[13\] a_l\[14\]=a_l\[14\] a_l\[15\]=a_l\[15\] a_l\[16\]=a_l\[16\] a_l\[17\]=a_l\[17\] a_l\[18\]=a_l\[18\] a_l\[19\]=a_l\[19\] a_l\[20\]=a_l\[20\] a_l\[21\]=a_l\[21\] a_l\[22\]=a_l\[22\] a_l\[23\]=a_l\[23\] a_l\[24\]=a_l\[24\] a_l\[25\]=a_l\[25\] a_l\[26\]=a_l\[26\] a_l\[27\]=a_l\[27\] a_l\[28\]=a_l\[28\] a_l\[29\]=a_l\[29\] a_l\[30\]=a_l\[30\] a_l\[31\]=a_l\[31\] a_l\[32\]=a_l\[32\] clk=clk
regression
/scripts
/issue_01040.ys
→
regression
_revised/issue_01040
/issue_01040.ys
View file @
672505c4
read_verilog ../top.v
synth
write_blif result.
log
write_blif result.
out
regression/issue_01040/top.v
→
regression
_revised
/issue_01040/top.v
View file @
672505c4
File moved
regression
/scripts
/issue_01047.ys
→
regression
_revised/issue_01047
/issue_01047.ys
View file @
672505c4
File moved
regression/issue_01047/top.v
→
regression
_revised
/issue_01047/top.v
View file @
672505c4
File moved
regression_revised/issue_01063/issue_01063_fail.pat
0 → 100644
View file @
672505c4
ERROR: Gate cell u_mid8 not found in module top.
regression
/scripts/issue_01063
.ys
→
regression
_revised/issue_01063/issue_01063_fail
.ys
View file @
672505c4
File moved
regression/issue_01063/top.v
→
regression
_revised
/issue_01063/top.v
View file @
672505c4
File moved
regression_revised/issue_01065/issue_01065.ys
0 → 100644
View file @
672505c4
read_verilog ../top.v
proc
tee -o result.out opt -fast
regression_revised/issue_01065/issue_01065_n.ys
0 → 100644
View file @
672505c4
Warning: Driver-driver conflict for \\q between cell u.i and constant 1'0 in top: Resolved using constant.
regression/issue_01065/top.v
→
regression
_revised
/issue_01065/top.v
View file @
672505c4
File moved
regression
/scripts
/issue_01070.ys
→
regression
_revised/issue_01070
/issue_01070.ys
View file @
672505c4
File moved
regression/issue_01070/top.v
→
regression
_revised
/issue_01070/top.v
View file @
672505c4
File moved
regression
/scripts/issue_00961
.ys
→
regression
_revised/issue_01084/issue_01084
.ys
View file @
672505c4
read_verilog ../top.v
tee -a result.log proc
regression/issue_01084/top.v
→
regression
_revised
/issue_01084/top.v
View file @
672505c4
File moved
Write
Preview
Markdown
is supported
0%
Try again
or
attach a new file
Attach a file
Cancel
You are about to add
0
people
to the discussion. Proceed with caution.
Finish editing this message first!
Cancel
Please
register
or
sign in
to comment