Commit 55d16cf1 by Miodrag Milanovic

Do not simulate, check ram generated

parent 8bb3852d
...@@ -287,9 +287,7 @@ else ...@@ -287,9 +287,7 @@ else
iverilog_adds="" iverilog_adds=""
#Additional sources for iverilog simulation #Additional sources for iverilog simulation
if [ "$1" = "issue_00084" ]; then if [ "$1" = "issue_00160" ] ||\
iverilog_adds="$TECHLIBS_PREFIX/xilinx/xc7_brams_bb.v"
elif [ "$1" = "issue_00160" ] ||\
[ "$1" = "issue_00182" ] ||\ [ "$1" = "issue_00182" ] ||\
[ "$1" = "issue_00183" ] ||\ [ "$1" = "issue_00183" ] ||\
[ "$1" = "issue_00481" ] ||\ [ "$1" = "issue_00481" ] ||\
...@@ -308,7 +306,8 @@ else ...@@ -308,7 +306,8 @@ else
exit 0 exit 0
fi fi
# cases where we do not run iverilog # cases where we do not run iverilog
if [ "$1" = "issue_00449" ]; then if [ "$1" = "issue_00449" ] ||\
[ "$1" = "issue_00084" ]; then
echo PASS > ${1}_${2}.status echo PASS > ${1}_${2}.status
touch .stamp touch .stamp
exit 0 exit 0
......
read_verilog ../top.v read_verilog ../top.v
synth_xilinx -top top synth_xilinx -top top
write_verilog synth.v cd top
select -assert-count 1 t:RAMB18E1
\ No newline at end of file
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment