Commit 4c1b7236 by Miodrag Milanovic

stop on error

parent f23b8ba1
...@@ -75,7 +75,7 @@ module uut_macc_checker(input clk, input [15:0] A, input [15:0] B, input C, inpu ...@@ -75,7 +75,7 @@ module uut_macc_checker(input clk, input [15:0] A, input [15:0] B, input C, inpu
if (P != p) if (P != p)
begin begin
$display("ERROR: ASSERTION FAILED in %m:",$time," ",P," ",p); $display("ERROR: ASSERTION FAILED in %m:",$time," ",P," ",p);
//$stop; $stop;
end end
end end
endmodule endmodule
...@@ -75,7 +75,7 @@ module uut_macc_checker(input clk, input [15:0] A, input [17:0] B, input C, inpu ...@@ -75,7 +75,7 @@ module uut_macc_checker(input clk, input [15:0] A, input [17:0] B, input C, inpu
if (P != p) if (P != p)
begin begin
$display("ERROR: ASSERTION FAILED in %m:",$time," ",P," ",p); $display("ERROR: ASSERTION FAILED in %m:",$time," ",P," ",p);
//$stop; $stop;
end end
end end
endmodule endmodule
...@@ -68,7 +68,7 @@ module uut_macc_checker(input clk, input [7:0] A, input [7:0] B, input C, input ...@@ -68,7 +68,7 @@ module uut_macc_checker(input clk, input [7:0] A, input [7:0] B, input C, input
if (P != p) if (P != p)
begin begin
$display("ERROR: ASSERTION FAILED in %m:",$time," ",P," ",p); $display("ERROR: ASSERTION FAILED in %m:",$time," ",P," ",p);
//$stop; $stop;
end end
end end
endmodule endmodule
...@@ -75,7 +75,7 @@ module uut_macc_checker(input clk, input [24:0] A, input [17:0] B, input C, inpu ...@@ -75,7 +75,7 @@ module uut_macc_checker(input clk, input [24:0] A, input [17:0] B, input C, inpu
if (P != p) if (P != p)
begin begin
$display("ERROR: ASSERTION FAILED in %m:",$time," ",P," ",p); $display("ERROR: ASSERTION FAILED in %m:",$time," ",P," ",p);
//$stop; $stop;
end end
end end
endmodule endmodule
...@@ -75,7 +75,7 @@ module uut_macc_checker(input clk, input [15:0] A, input [15:0] B, input C, inpu ...@@ -75,7 +75,7 @@ module uut_macc_checker(input clk, input [15:0] A, input [15:0] B, input C, inpu
if (P != p) if (P != p)
begin begin
$display("ERROR: ASSERTION FAILED in %m:",$time," ",P," ",p); $display("ERROR: ASSERTION FAILED in %m:",$time," ",P," ",p);
//$stop; $stop;
end end
end end
endmodule endmodule
...@@ -75,7 +75,7 @@ module uut_macc_checker(input clk, input [15:0] A, input [15:0] B, input C, inpu ...@@ -75,7 +75,7 @@ module uut_macc_checker(input clk, input [15:0] A, input [15:0] B, input C, inpu
if (P != p) if (P != p)
begin begin
$display("ERROR: ASSERTION FAILED in %m:",$time," ",P," ",p); $display("ERROR: ASSERTION FAILED in %m:",$time," ",P," ",p);
//$stop; $stop;
end end
end end
endmodule endmodule
...@@ -75,7 +75,7 @@ module uut_macc_checker(input clk, input [24:0] A, input [17:0] B, input C, inpu ...@@ -75,7 +75,7 @@ module uut_macc_checker(input clk, input [24:0] A, input [17:0] B, input C, inpu
if (P != p) if (P != p)
begin begin
$display("ERROR: ASSERTION FAILED in %m:",$time," ",P," ",p); $display("ERROR: ASSERTION FAILED in %m:",$time," ",P," ",p);
//$stop; $stop;
end end
end end
endmodule endmodule
...@@ -75,7 +75,7 @@ module uut_macc_checker(input clk, input [24:0] A, input [17:0] B, input C, inpu ...@@ -75,7 +75,7 @@ module uut_macc_checker(input clk, input [24:0] A, input [17:0] B, input C, inpu
if (P != p) if (P != p)
begin begin
$display("ERROR: ASSERTION FAILED in %m:",$time," ",P," ",p); $display("ERROR: ASSERTION FAILED in %m:",$time," ",P," ",p);
//$stop; $stop;
end end
end end
endmodule endmodule
...@@ -68,7 +68,7 @@ module uut_top_checker(input clk, input [2:0] A, input [2:0] B); ...@@ -68,7 +68,7 @@ module uut_top_checker(input clk, input [2:0] A, input [2:0] B);
if (A != B) if (A != B)
begin begin
$display("ERROR: ASSERTION FAILED in %m:",$time," ",A," ",B); $display("ERROR: ASSERTION FAILED in %m:",$time," ",A," ",B);
//$stop; $stop;
end end
end end
endmodule endmodule
...@@ -75,7 +75,7 @@ module uut_macc_checker(input clk, input [24:0] A, input [17:0] B, input C, inpu ...@@ -75,7 +75,7 @@ module uut_macc_checker(input clk, input [24:0] A, input [17:0] B, input C, inpu
if (P != p) if (P != p)
begin begin
$display("ERROR: ASSERTION FAILED in %m:",$time," ",P," ",p); $display("ERROR: ASSERTION FAILED in %m:",$time," ",P," ",p);
//$stop; $stop;
end end
end end
endmodule endmodule
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment