Commit 14087ec4 by Miodrag Milanovic

more broad error check

parent 68d81606
logger -expect error "syntax error, unexpected \$undefined" 1
logger -expect error "syntax error" 1
read_verilog top.v
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment