write_verilog_lut.ys 66 Bytes