testbench.v 1.32 KB
Newer Older
1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85
module testbench;
	reg clock;

	initial begin
		// $dumpfile("testbench.vcd");
		// $dumpvars(0, testbench);

		#5 clock = 0;
		repeat (10000) begin
			#5 clock = 1;
			#5 clock = 0;
		end

		$display("OKAY");
	end

	reg  [31:0] dinA;
	reg  [31:0] dinB;
	reg  [2:0]  opcode;
	wire [31:0] dout;

	top uut (
		.clock  (clock ),
		.dinA   (dinA  ),
		.dinB   (dinB  ),
		.opcode (opcode),
		.dout   (dout  )
	);

	reg [31:0] ref;

	always @(posedge clock) begin
		case (opcode)
		0: ref <= dinA + dinB;
		1: ref <= dinA - dinB;
		2: ref <= dinA >> dinB;
		3: ref <= $signed(dinA) >>> dinB;
		4: ref <= dinA << dinB;
		5: ref <= dinA & dinB;
		6: ref <= dinA | dinB;
		7: ref <= dinA ^ dinB;
		endcase
	end

	reg [127:0] rngstate = 1;
	reg [63:0] rng;

	task rngnext;
		// xorshift128plus
		reg [63:0] x, y;
		begin
			{y, x} = rngstate;
			rngstate[63:0] = y;
			x ^= x << 23;
			rngstate[63:0] = x ^ y ^ (x >> 17) ^ (y >> 26);
			rng = rngstate[63:0] + y;
		end
	endtask

	initial begin
		dinA <= 1;
		dinB <= 2;
		opcode <= 0;

		repeat (100)
			rngnext;

		forever @(posedge clock) begin
			if (ref != dout) begin
				$display("ERROR at %t: A=%b B=%b OP=%b OUT=%b (expected %b)",
						$time, dinA, dinB, opcode, dout, ref);
				$stop;
			end

			dinA <= rng;
			rngnext;

			dinB <= rng;
			rngnext;

			opcode <= rng;
			rngnext;
		end
	end
endmodule