dff.v 1.56 KB
Newer Older
1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87
// Design
// D flip-flop
// https://www.edaplayground.com/x/5dzJ

// If (asynchronous 'reset_sync' & enable') are true on the same clock, 
// and then 'reset_sync' is low on the next clock,
// then the asynchronous 'reset_sync' gets ignored and the 'enable' applied

module dff (clk, reset, enable, d, q);
	  
	input      clk;
	input      reset;
	input 	 enable;
	input      d;
	output reg q;


  	always @(posedge clk or posedge reset_sync)
  	begin
    	if (reset_sync) begin
      		// Asynchronous reset when reset goes high
	      	q <= 1'b0;      
	    end 

		else if(enable) 
		begin
      		// Assign D to Q on positive clock edge
      		q <= d;
    	end
  	end

	wire reset_sync;

    synchronizer #(.RESET_STATE(1)) reset_synchronizer(
        .clk(clk),
        .reset(reset),
        .data_i(0),
        .data_o(reset_sync));


`ifdef FORMAL

	always @($global_clock) assume(clk != $past(clk));

	localparam MAX_CNT = 16;

	reg[$clog2(MAX_CNT)-1:0] counter;
	initial counter = 0;

	always @(posedge clk) counter <= counter + 1;

	initial assume(reset);
	initial assume(enable);

	always @(posedge clk) if(counter == (MAX_CNT >> 1)) assume(reset != $past(reset));


	//always @(*) assume(d == 1'b1);

	always @(clk) 
	begin
		if(clk) assume(d == 1'b0); 
		
		else assume(d == 1'b1);
	end

	always @(clk) 
	begin
		if(clk) assume(!enable); 
		
		else assume(enable);
	end

	always @(posedge clk) 
	begin
		if(reset_sync) assert(q == 0);

		else if(enable) assert(q == d);

		else assert(q == $past(q));
	end

	always @(posedge clk) cover(reset && enable && d && !clk);

`endif

endmodule