decl_after_stmt.sv 204 Bytes
Newer Older
1 2 3 4 5 6 7 8 9 10
// pattern: procedural block contains a declaration after a statement
module top;
    task t;
        $display("t()");
    endtask
    initial begin
        t;
        localparam X = 3;
    end
endmodule