struct_nested_cast.sv 268 Bytes
Newer Older
1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16
package PKG;
    typedef struct packed {
        logic f;
    } foo_t;
endpackage

module top;
    typedef struct packed {
        PKG::foo_t f;
    } local_t;
    local_t w;
    initial begin
        w <= local_t'(1'sb1);
        $display("%b", w);
    end
endmodule