local_cast.sv 925 Bytes
Newer Older
1 2 3
module top;
    generate
        for (genvar i = 1; i < 5; ++i) begin
4 5
            localparam A = $unsigned(i'(1'sb1));
            localparam B = $unsigned((i + 5)'(1'sb1));
6 7 8 9
            initial begin
                integer x, y;
                x = $unsigned(i'(1'sb1));
                y = $unsigned((i + 5)'(1'sb1));
10
                $display("%0d %b %b %b %b", i, x, y, A, B);
11 12
            end
            for (genvar j = 3; j < 6; ++j) begin
13
                localparam C = $unsigned((i * j)'(1'sb1));
14 15 16
                initial begin
                    integer x;
                    x = $unsigned((i * j)'(1'sb1));
17
                    $display("%0d %0d %b %b", i, j, x, C);
18 19 20
                end
            end
        end
21 22 23 24 25
        localparam P = 2;
        for (genvar i = 0; i < byte'(P); i = i + 1) begin : g
            wire a = i;
        end
        initial $display("%b %b", g[0].a, g[1].a);
26 27
    endgenerate
endmodule