simplify_type.sv 380 Bytes
Newer Older
1 2 3 4 5 6 7 8 9 10 11 12
module top;
    localparam _1B1 = 1'b1;
    localparam _1SB1 = 1'sb1;
    localparam [31:0] _1B1_EXT = 1'b1;
    localparam [31:0] _1SB1_EXT = 1'sb1;
    initial begin
        $display("%d", $clog2({_1B1, 1'b0}));
        $display("%d", $clog2({_1SB1, 1'b0}));
        $display("%d", $clog2({_1B1_EXT, 1'b0}));
        $display("%d", $clog2({_1SB1_EXT, 1'b0}));
    end
endmodule