inline_concat_tb.v 451 Bytes
Newer Older
1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25
`default_nettype none

module top;

    reg [7:0] a, b;
    wire [7:0] result;

    Device dut(
        .a(a),
        .b(b),
        .result(result)
    );

    initial begin
        $monitor($time, " %b | %b = %b", a, b, result);
        {a, b} = 16'h0;
        #10 {a, b} = 16'h0102;
        #10 {a, b} = 16'hff00;
        #10 {a, b} = 16'h00ff;
        #10 {a, b} = 16'hf0f0;
        #10 {a, b} = 16'h0ff0;
        #10 $finish;
    end

endmodule