integer_array.v 2.08 KB
Newer Older
1
module top;
2 3 4 5 6 7 8 9 10
    localparam [0:127] A = { 32'h1, 32'h2, 32'h3, 32'h4 };
    localparam [0:31] B = { 8'h1, 8'h2, 8'h3, 8'h4 };
    localparam [0:3] C = { 1'h1, 1'h0, 1'h1, 1'h0 };
    localparam [0:127] D = { 32'hFFFFFFFF, 32'hFFFFFFFE, 32'hFFFFFFFD, 32'hFFFFFFFC };
    localparam [0:31] E = { 8'hFF, 8'hFE, 8'hFD, 8'hFC };
    localparam [0:3] F = { 1'h1, 1'h0, 1'h1, 1'h0 };
    localparam [0:127] G = { 32'h1, 32'h2, 32'h3, 32'h4 };
    localparam [0:31] H = { 8'h1, 8'h2, 8'h3, 8'h4 };
    localparam [0:3] I = { 1'h1, 1'h0, 1'h1, 1'h0 };
11 12 13 14 15 16
    localparam [0:127] J = { 32'h0, 32'hFFFFFFFF, 32'h5, 32'h6 };
    localparam [0:31] K = { 8'h0, 8'hFF, 8'h5, 8'h6 };
    localparam [0:3] L = { 1'h0, 1'h1, 1'h1, 1'h0 };
    localparam [0:127] M = { 32'h0, 32'hFFFFFFFF, 32'h5, 32'h6 };
    localparam [0:31] N = { 8'h0, 8'hFF, 8'h5, 8'h6 };
    localparam [0:3] O = { 1'h0, 1'h1, 1'h1, 1'h0 };
17
    initial begin
18 19 20 21 22 23 24 25 26
        $display("%b %2d %2d", A, $bits(A), 32);
        $display("%b %2d %2d", B, $bits(B), 8);
        $display("%b %2d %2d", C, $bits(C), 1);
        $display("%b %2d %2d", D, $bits(D), 32);
        $display("%b %2d %2d", E, $bits(E), 8);
        $display("%b %2d %2d", F, $bits(F), 1);
        $display("%b %2d %2d", G, $bits(G), 32);
        $display("%b %2d %2d", H, $bits(H), 8);
        $display("%b %2d %2d", I, $bits(I), 1);
27 28 29 30 31 32
        $display("%b %2d %2d", J, $bits(J), 32);
        $display("%b %2d %2d", K, $bits(K), 8);
        $display("%b %2d %2d", L, $bits(L), 1);
        $display("%b %2d %2d", M, $bits(M), 32);
        $display("%b %2d %2d", N, $bits(N), 8);
        $display("%b %2d %2d", O, $bits(O), 1);
33
    end
34 35 36 37 38 39 40

    localparam [3:0] P = 4'b1100;
    localparam [3:0] Q = 4'b0011;
    initial begin
        $display("%b %b %b", P, P[1:0], P[3:2]);
        $display("%b %b %b", Q, Q[1:0], Q[3:2]);
    end
41 42 43 44 45 46 47 48 49 50 51 52 53

    initial begin : block
        reg [31:0] a;
        a[7:0] = 1;
        a[15:8] = 1;
        $display("a: %b", a);
        a[31:24] = 2;
        $display("a: %b", a);
        a[23:16] = 3;
        $display("a: %b", a);
        a[23:19] = 4'b1111;
        $display("a: %b", a);
    end
54
endmodule