always_latch_tb.v 329 Bytes
Newer Older
1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22
module top;
    wire a;
    reg b;
    reg en;

    initial begin
        en = 1;
        forever #1 en = ~en;
    end

    test m(.a, .b, .en);

    initial begin
        $monitor($time, a, b, en);
        #1; b = 1;
        #1; b = 0;
        #1; b = 0;
        #1; b = 1;
        #1; b = 0;
        $finish;
    end
endmodule