package_order.sv 374 Bytes
Newer Older
1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19
package evil_pkg;
    localparam Z = 1;
    localparam A = Z;
    localparam B = Z;

    function logic evil_fun;
        return A;
    endfunction
endpackage

module evil_mdl (
    output logic [evil_pkg::B-1:0] foo
);
    initial foo = evil_pkg::evil_fun();
endmodule

module top;
    logic [evil_pkg::B-1:0] foo;
    evil_mdl x(foo);
20
    initial $monitor(foo);
21
endmodule