enum_tb.v 391 Bytes
Newer Older
1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22
`default_nettype none

module top;


    reg rawMode;
    wire [1:0] rawOperation;

    Example dut(
        .rawMode(rawMode),
        .rawOperation(rawOperation)
    );

    initial begin
        $monitor($time, " rawMode: %b rawOperation: %b", rawMode, rawOperation);
        rawMode = 1'b0;
        #10 rawMode = 1'b1;
        #10 rawMode = 1'b0;
        #10 $finish;
    end

endmodule