Skip to content
Projects
Groups
Snippets
Help
This project
Loading...
Sign in / Register
Toggle navigation
M
macroplacement
Overview
Overview
Details
Activity
Cycle Analytics
Repository
Repository
Files
Commits
Branches
Tags
Contributors
Graph
Compare
Charts
Issues
0
Issues
0
List
Board
Labels
Milestones
Merge Requests
0
Merge Requests
0
CI / CD
CI / CD
Pipelines
Jobs
Schedules
Charts
Wiki
Wiki
Snippets
Snippets
Members
Members
Collapse sidebar
Close sidebar
Activity
Graph
Charts
Create a new issue
Jobs
Commits
Issue Boards
Open sidebar
lvzhengyang
macroplacement
Commits
c148f72d
Commit
c148f72d
authored
Jul 13, 2022
by
sakundu
Browse files
Options
Browse Files
Download
Email Patches
Plain Diff
Added report generation scripts, Updated sdc
Signed-off-by: sakundu <sakundu@ucsd.edu>
parent
f763a5d7
Hide whitespace changes
Inline
Side-by-side
Showing
18 changed files
with
1873 additions
and
1752 deletions
+1873
-1752
Flows/ASAP7/ariane133/scripts/cadence/run_invs.tcl
+15
-0
Flows/ASAP7/ariane136/scripts/cadence/run_invs.tcl
+14
-0
Flows/ASAP7/mempool_tile/scripts/cadence/run_invs.tcl
+15
-0
Flows/ASAP7/nvdla/constraints/NV_NVDLA_partition_c.sdc
+5
-5
Flows/ASAP7/nvdla/scripts/cadence/run_invs.tcl
+14
-0
Flows/NanGate45/ariane133/def/ariane133_fp.def
+855
-864
Flows/NanGate45/ariane133/def/ariane133_fp_placed_macros.def
+855
-864
Flows/NanGate45/ariane133/scripts/cadence/run_invs.tcl
+11
-0
Flows/NanGate45/ariane136/scripts/cadence/run_invs.tcl
+11
-0
Flows/NanGate45/mempool_tile/scripts/cadence/run_invs.tcl
+11
-0
Flows/NanGate45/nvdla/scripts/cadence/run_invs.tcl
+11
-0
Flows/SKY130HD/ariane133/constraints/ariane.sdc
+1
-1
Flows/SKY130HD/ariane133/scripts/cadence/run_invs.tcl
+13
-0
Flows/SKY130HD/ariane136/constraints/ariane.sdc
+2
-17
Flows/SKY130HD/ariane136/scripts/cadence/run_invs.tcl
+13
-0
Flows/SKY130HD/mempool_tile/scripts/cadence/run_invs.tcl
+13
-0
Flows/SKY130HD/nvdla/constraints/NV_NVDLA_partition_c.sdc
+1
-1
Flows/SKY130HD/nvdla/scripts/cadence/run_invs.tcl
+13
-0
No files found.
Flows/ASAP7/ariane133/scripts/cadence/run_invs.tcl
View file @
c148f72d
# This script was written and developed by ABKGroup students at UCSD. However, the underlying commands and reports are copyrighted by Cadence.
# We thank Cadence for granting permission to share our research to help promote and foster the next generation of innovators.
setLibraryUnit -time 1.0ps
source
lib_setup.tcl
source
design_setup.tcl
source
mmmc_setup.tcl
...
...
@@ -76,6 +77,11 @@ setDesignMode -bottomRoutingLayer 2
place_opt_design -out_dir
$rpt
Dir -prefix place
saveDesign
$enc
Dir/$
{
DESIGN
}
_placed.enc
echo
"stage,core_area,standard_cell_area,macro_area,total_power,wire_length,wns,tns,h_c,v_c"
>
${DESIGN}
_DETAILS.rpt
source
../../../../util/extract_report.tcl
set
rpt_pre_cts
[
extract_report preCTS
]
echo
"
$rpt
_pre_cts"
>>
${DESIGN}
_DETAILS.rpt
set_ccopt_property post_conditioning_enable_routing_eco 1
set_ccopt_property -cts_def_lock_clock_sinks_after_routing true
setOptMode -unfixClkInstForOpt false
...
...
@@ -87,6 +93,11 @@ set_interactive_constraint_modes [all_constraint_modes -active]
set_propagated_clock
[
all_clocks
]
set_clock_propagation propagated
saveDesign
$enc
Dir/$
{
DESIGN
}
_cts.enc
set
rpt_post_cts
[
extract_report postCTS
]
echo
"
$rpt
_post_cts"
>>
${DESIGN}
_DETAILS.rpt
# ------------------------------------------------------------------------------
# Routing
# ------------------------------------------------------------------------------
...
...
@@ -112,6 +123,10 @@ setNanoRouteMode -grouteExpWithTimingDriven false
routeDesign
#route_opt_design
saveDesign
${encDir}
/$
{
DESIGN
}
_route.enc
set
rpt_post_route
[
extract_report postRoute
]
echo
"
$rpt
_post_route"
>>
${DESIGN}
_DETAILS.rpt
defOut -netlist -floorplan -routing
${DESIGN}
_route.def
summaryReport -noHtml -outfile summaryReport/post_route.sum
...
...
Flows/ASAP7/ariane136/scripts/cadence/run_invs.tcl
View file @
c148f72d
# This script was written and developed by ABKGroup students at UCSD. However, the underlying commands and reports are copyrighted by Cadence.
# We thank Cadence for granting permission to share our research to help promote and foster the next generation of innovators.
setLibraryUnit -time 1.0ps
source
lib_setup.tcl
source
design_setup.tcl
source
mmmc_setup.tcl
...
...
@@ -76,6 +77,11 @@ setDesignMode -bottomRoutingLayer 2
place_opt_design -out_dir
$rpt
Dir -prefix place
saveDesign
$enc
Dir/$
{
DESIGN
}
_placed.enc
echo
"stage,core_area,standard_cell_area,macro_area,total_power,wire_length,wns,tns,h_c,v_c"
>
${DESIGN}
_DETAILS.rpt
source
../../../../util/extract_report.tcl
set
rpt_pre_cts
[
extract_report preCTS
]
echo
"
$rpt
_pre_cts"
>>
${DESIGN}
_DETAILS.rpt
set_ccopt_property post_conditioning_enable_routing_eco 1
set_ccopt_property -cts_def_lock_clock_sinks_after_routing true
setOptMode -unfixClkInstForOpt false
...
...
@@ -87,6 +93,10 @@ set_interactive_constraint_modes [all_constraint_modes -active]
set_propagated_clock
[
all_clocks
]
set_clock_propagation propagated
saveDesign
$enc
Dir/$
{
DESIGN
}
_cts.enc
set
rpt_post_cts
[
extract_report postCTS
]
echo
"
$rpt
_post_cts"
>>
${DESIGN}
_DETAILS.rpt
# ------------------------------------------------------------------------------
# Routing
# ------------------------------------------------------------------------------
...
...
@@ -112,6 +122,10 @@ setNanoRouteMode -grouteExpWithTimingDriven false
routeDesign
#route_opt_design
saveDesign
${encDir}
/$
{
DESIGN
}
_route.enc
set
rpt_post_route
[
extract_report postRoute
]
echo
"
$rpt
_post_route"
>>
${DESIGN}
_DETAILS.rpt
defOut -netlist -floorplan -routing
${DESIGN}
_route.def
summaryReport -noHtml -outfile summaryReport/post_route.sum
...
...
Flows/ASAP7/mempool_tile/scripts/cadence/run_invs.tcl
View file @
c148f72d
# This script was written and developed by ABKGroup students at UCSD. However, the underlying commands and reports are copyrighted by Cadence.
# We thank Cadence for granting permission to share our research to help promote and foster the next generation of innovators.
setLibraryUnit -time 1.0ps
source
lib_setup.tcl
source
design_setup.tcl
source
mmmc_setup.tcl
...
...
@@ -76,6 +77,11 @@ setDesignMode -bottomRoutingLayer 2
place_opt_design -out_dir
$rpt
Dir -prefix place
saveDesign
$enc
Dir/$
{
DESIGN
}
_placed.enc
echo
"stage,core_area,standard_cell_area,macro_area,total_power,wire_length,wns,tns,h_c,v_c"
>
${DESIGN}
_DETAILS.rpt
source
../../../../util/extract_report.tcl
set
rpt_pre_cts
[
extract_report preCTS
]
echo
"
$rpt
_pre_cts"
>>
${DESIGN}
_DETAILS.rpt
set_ccopt_property post_conditioning_enable_routing_eco 1
set_ccopt_property -cts_def_lock_clock_sinks_after_routing true
setOptMode -unfixClkInstForOpt false
...
...
@@ -87,6 +93,11 @@ set_interactive_constraint_modes [all_constraint_modes -active]
set_propagated_clock
[
all_clocks
]
set_clock_propagation propagated
saveDesign
$enc
Dir/$
{
DESIGN
}
_cts.enc
set
rpt_post_cts
[
extract_report postCTS
]
echo
"
$rpt
_post_cts"
>>
${DESIGN}
_DETAILS.rpt
# ------------------------------------------------------------------------------
# Routing
# ------------------------------------------------------------------------------
...
...
@@ -112,6 +123,10 @@ setNanoRouteMode -grouteExpWithTimingDriven false
routeDesign
#route_opt_design
saveDesign
${encDir}
/$
{
DESIGN
}
_route.enc
set
rpt_post_route
[
extract_report postRoute
]
echo
"
$rpt
_post_route"
>>
${DESIGN}
_DETAILS.rpt
defOut -netlist -floorplan -routing
${DESIGN}
_route.def
summaryReport -noHtml -outfile summaryReport/post_route.sum
...
...
Flows/ASAP7/nvdla/constraints/NV_NVDLA_partition_c.sdc
View file @
c148f72d
...
...
@@ -12,11 +12,11 @@ set_ideal_network [get_ports direct_reset_]
set_ideal_network [get_ports dla_reset_rstn]
set_ideal_network -no_propagate [get_nets nvdla_core_rstn]
set_ideal_network [get_ports test_mode]
create_clock [get_ports nvdla_core_clk] -period
0.9 -waveform {0 0.45
}
set_clock_transition -max -rise
0.05
[get_clocks nvdla_core_clk]
set_clock_transition -max -fall
0.05
[get_clocks nvdla_core_clk]
set_clock_transition -min -rise
0.05
[get_clocks nvdla_core_clk]
set_clock_transition -min -fall
0.05
[get_clocks nvdla_core_clk]
create_clock [get_ports nvdla_core_clk] -period
900.0 -waveform {0 450.0
}
set_clock_transition -max -rise
50
[get_clocks nvdla_core_clk]
set_clock_transition -max -fall
50
[get_clocks nvdla_core_clk]
set_clock_transition -min -rise
50
[get_clocks nvdla_core_clk]
set_clock_transition -min -fall
50
[get_clocks nvdla_core_clk]
set_false_path -from [get_ports direct_reset_]
set_false_path -from [get_ports dla_reset_rstn]
set_false_path -from [get_ports test_mode]
...
...
Flows/ASAP7/nvdla/scripts/cadence/run_invs.tcl
View file @
c148f72d
# This script was written and developed by ABKGroup students at UCSD. However, the underlying commands and reports are copyrighted by Cadence.
# We thank Cadence for granting permission to share our research to help promote and foster the next generation of innovators.
setLibraryUnit -time 1.0ps
source
lib_setup.tcl
source
design_setup.tcl
source
mmmc_setup.tcl
...
...
@@ -76,6 +77,11 @@ setDesignMode -bottomRoutingLayer 2
place_opt_design -out_dir
$rpt
Dir -prefix place
saveDesign
$enc
Dir/$
{
DESIGN
}
_placed.enc
echo
"stage,core_area,standard_cell_area,macro_area,total_power,wire_length,wns,tns,h_c,v_c"
>
${DESIGN}
_DETAILS.rpt
source
../../../../util/extract_report.tcl
set
rpt_pre_cts
[
extract_report preCTS
]
echo
"
$rpt
_pre_cts"
>>
${DESIGN}
_DETAILS.rpt
set_ccopt_property post_conditioning_enable_routing_eco 1
set_ccopt_property -cts_def_lock_clock_sinks_after_routing true
setOptMode -unfixClkInstForOpt false
...
...
@@ -87,6 +93,10 @@ set_interactive_constraint_modes [all_constraint_modes -active]
set_propagated_clock
[
all_clocks
]
set_clock_propagation propagated
saveDesign
$enc
Dir/$
{
DESIGN
}
_cts.enc
set
rpt_post_cts
[
extract_report postCTS
]
echo
"
$rpt
_post_cts"
>>
${DESIGN}
_DETAILS.rpt
# ------------------------------------------------------------------------------
# Routing
# ------------------------------------------------------------------------------
...
...
@@ -112,6 +122,10 @@ setNanoRouteMode -grouteExpWithTimingDriven false
routeDesign
#route_opt_design
saveDesign
${encDir}
/$
{
DESIGN
}
_route.enc
set
rpt_post_route
[
extract_report postRoute
]
echo
"
$rpt
_post_route"
>>
${DESIGN}
_DETAILS.rpt
defOut -netlist -floorplan -routing
${DESIGN}
_route.def
summaryReport -noHtml -outfile summaryReport/post_route.sum
...
...
Flows/NanGate45/ariane133/def/ariane133_fp.def
View file @
c148f72d
...
...
@@ -2337,1498 +2337,1489 @@ GCELLGRID Y 0 DO 2 STEP 140 ;
PINS 495 ;
- clk_i + NET clk_i + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
174846
0 ) E ;
+ FIXED ( 0
70014
0 ) E ;
- rst_ni + NET rst_ni + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
1806
420 ) E ;
+ FIXED ( 0
707
420 ) E ;
- boot_addr_i[63] + NET boot_addr_i[63] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
174762
0 ) E ;
+ FIXED ( 0
71470
0 ) E ;
- boot_addr_i[62] + NET boot_addr_i[62] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
17467
80 ) E ;
+ FIXED ( 0
7219
80 ) E ;
- boot_addr_i[61] + NET boot_addr_i[61] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
174594
0 ) E ;
+ FIXED ( 0
72926
0 ) E ;
- boot_addr_i[60] + NET boot_addr_i[60] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
174510
0 ) E ;
+ FIXED ( 0
73654
0 ) E ;
- boot_addr_i[59] + NET boot_addr_i[59] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
174426
0 ) E ;
+ FIXED ( 0
74382
0 ) E ;
- boot_addr_i[58] + NET boot_addr_i[58] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
174342
0 ) E ;
+ FIXED ( 0
75110
0 ) E ;
- boot_addr_i[57] + NET boot_addr_i[57] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
174258
0 ) E ;
+ FIXED ( 0
75866
0 ) E ;
- boot_addr_i[56] + NET boot_addr_i[56] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
17417
40 ) E ;
+ FIXED ( 0
7659
40 ) E ;
- boot_addr_i[55] + NET boot_addr_i[55] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
174090
0 ) E ;
+ FIXED ( 0
77322
0 ) E ;
- boot_addr_i[54] + NET boot_addr_i[54] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
174006
0 ) E ;
+ FIXED ( 0
78050
0 ) E ;
- boot_addr_i[53] + NET boot_addr_i[53] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
173922
0 ) E ;
+ FIXED ( 0
78778
0 ) E ;
- boot_addr_i[52] + NET boot_addr_i[52] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
173838
0 ) E ;
+ FIXED ( 0
79506
0 ) E ;
- boot_addr_i[51] + NET boot_addr_i[51] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
17375
40 ) E ;
+ FIXED ( 0
8023
40 ) E ;
- boot_addr_i[50] + NET boot_addr_i[50] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
173670
0 ) E ;
+ FIXED ( 0
80962
0 ) E ;
- boot_addr_i[49] + NET boot_addr_i[49] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
173586
0 ) E ;
+ FIXED ( 0
81718
0 ) E ;
- boot_addr_i[48] + NET boot_addr_i[48] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
173502
0 ) E ;
+ FIXED ( 0
82446
0 ) E ;
- boot_addr_i[47] + NET boot_addr_i[47] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
173418
0 ) E ;
+ FIXED ( 0
83174
0 ) E ;
- boot_addr_i[46] + NET boot_addr_i[46] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
173334
0 ) E ;
+ FIXED ( 0
83902
0 ) E ;
- boot_addr_i[45] + NET boot_addr_i[45] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
17325
00 ) E ;
+ FIXED ( 0
8463
00 ) E ;
- boot_addr_i[44] + NET boot_addr_i[44] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
173166
0 ) E ;
+ FIXED ( 0
85358
0 ) E ;
- boot_addr_i[43] + NET boot_addr_i[43] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
173082
0 ) E ;
+ FIXED ( 0
86086
0 ) E ;
- boot_addr_i[42] + NET boot_addr_i[42] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
172998
0 ) E ;
+ FIXED ( 0
86814
0 ) E ;
- boot_addr_i[41] + NET boot_addr_i[41] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
172914
0 ) E ;
+ FIXED ( 0
87570
0 ) E ;
- boot_addr_i[40] + NET boot_addr_i[40] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
172830
0 ) E ;
+ FIXED ( 0
88298
0 ) E ;
- boot_addr_i[39] + NET boot_addr_i[39] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
17274
60 ) E ;
+ FIXED ( 0
8902
60 ) E ;
- boot_addr_i[38] + NET boot_addr_i[38] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
172662
0 ) E ;
+ FIXED ( 0
89754
0 ) E ;
- boot_addr_i[37] + NET boot_addr_i[37] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
172578
0 ) E ;
+ FIXED ( 0
90482
0 ) E ;
- boot_addr_i[36] + NET boot_addr_i[36] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
172494
0 ) E ;
+ FIXED ( 0
91210
0 ) E ;
- boot_addr_i[35] + NET boot_addr_i[35] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
172410
0 ) E ;
+ FIXED ( 0
91938
0 ) E ;
- boot_addr_i[34] + NET boot_addr_i[34] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
172326
0 ) E ;
+ FIXED ( 0
92694
0 ) E ;
- boot_addr_i[33] + NET boot_addr_i[33] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
17224
20 ) E ;
+ FIXED ( 0
9342
20 ) E ;
- boot_addr_i[32] + NET boot_addr_i[32] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
172158
0 ) E ;
+ FIXED ( 0
94150
0 ) E ;
- boot_addr_i[31] + NET boot_addr_i[31] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
172074
0 ) E ;
+ FIXED ( 0
94878
0 ) E ;
- boot_addr_i[30] + NET boot_addr_i[30] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
171990
0 ) E ;
+ FIXED ( 0
95606
0 ) E ;
- boot_addr_i[29] + NET boot_addr_i[29] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
171906
0 ) E ;
+ FIXED ( 0
96334
0 ) E ;
- boot_addr_i[28] + NET boot_addr_i[28] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
17182
20 ) E ;
+ FIXED ( 0
9706
20 ) E ;
- boot_addr_i[27] + NET boot_addr_i[27] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
171738
0 ) E ;
+ FIXED ( 0
97790
0 ) E ;
- boot_addr_i[26] + NET boot_addr_i[26] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
171654
0 ) E ;
+ FIXED ( 0
98546
0 ) E ;
- boot_addr_i[25] + NET boot_addr_i[25] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
171570
0 ) E ;
+ FIXED ( 0
99274
0 ) E ;
- boot_addr_i[24] + NET boot_addr_i[24] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
71486
0 ) E ;
+ FIXED ( 0 1
00002
0 ) E ;
- boot_addr_i[23] + NET boot_addr_i[23] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
71402
0 ) E ;
+ FIXED ( 0 1
00730
0 ) E ;
- boot_addr_i[22] + NET boot_addr_i[22] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
7131
80 ) E ;
+ FIXED ( 0 1
0145
80 ) E ;
- boot_addr_i[21] + NET boot_addr_i[21] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
71234
0 ) E ;
+ FIXED ( 0 1
02186
0 ) E ;
- boot_addr_i[20] + NET boot_addr_i[20] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
71150
0 ) E ;
+ FIXED ( 0 1
02914
0 ) E ;
- boot_addr_i[19] + NET boot_addr_i[19] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
71066
0 ) E ;
+ FIXED ( 0 1
03642
0 ) E ;
- boot_addr_i[18] + NET boot_addr_i[18] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
70982
0 ) E ;
+ FIXED ( 0 1
04398
0 ) E ;
- boot_addr_i[17] + NET boot_addr_i[17] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
70898
0 ) E ;
+ FIXED ( 0 1
05126
0 ) E ;
- boot_addr_i[16] + NET boot_addr_i[16] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
7081
40 ) E ;
+ FIXED ( 0 1
0585
40 ) E ;
- boot_addr_i[15] + NET boot_addr_i[15] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
70730
0 ) E ;
+ FIXED ( 0 1
06582
0 ) E ;
- boot_addr_i[14] + NET boot_addr_i[14] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
70646
0 ) E ;
+ FIXED ( 0 1
07310
0 ) E ;
- boot_addr_i[13] + NET boot_addr_i[13] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
70562
0 ) E ;
+ FIXED ( 0 1
08038
0 ) E ;
- boot_addr_i[12] + NET boot_addr_i[12] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
70478
0 ) E ;
+ FIXED ( 0 1
08766
0 ) E ;
- boot_addr_i[11] + NET boot_addr_i[11] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
70394
0 ) E ;
+ FIXED ( 0 1
09522
0 ) E ;
- boot_addr_i[10] + NET boot_addr_i[10] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
7031
00 ) E ;
+ FIXED ( 0 1
1025
00 ) E ;
- boot_addr_i[9] + NET boot_addr_i[9] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
70226
0 ) E ;
+ FIXED ( 0 1
10978
0 ) E ;
- boot_addr_i[8] + NET boot_addr_i[8] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
70142
0 ) E ;
+ FIXED ( 0 1
11706
0 ) E ;
- boot_addr_i[7] + NET boot_addr_i[7] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
70058
0 ) E ;
+ FIXED ( 0 1
12434
0 ) E ;
- boot_addr_i[6] + NET boot_addr_i[6] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
69974
0 ) E ;
+ FIXED ( 0 1
13162
0 ) E ;
- boot_addr_i[5] + NET boot_addr_i[5] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
69
8900 ) E ;
+ FIXED ( 0 1
13
8900 ) E ;
- boot_addr_i[4] + NET boot_addr_i[4] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
69806
0 ) E ;
+ FIXED ( 0 1
14618
0 ) E ;
- boot_addr_i[3] + NET boot_addr_i[3] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
69722
0 ) E ;
+ FIXED ( 0 1
15374
0 ) E ;
- boot_addr_i[2] + NET boot_addr_i[2] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
69638
0 ) E ;
+ FIXED ( 0 1
16102
0 ) E ;
- boot_addr_i[1] + NET boot_addr_i[1] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
69554
0 ) E ;
+ FIXED ( 0 1
16830
0 ) E ;
- boot_addr_i[0] + NET boot_addr_i[0] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
69470
0 ) E ;
+ FIXED ( 0 1
17558
0 ) E ;
- hart_id_i[63] + NET hart_id_i[63] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
8030
60 ) E ;
+ FIXED ( 0 1
1828
60 ) E ;
- hart_id_i[62] + NET hart_id_i[62] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
80222
0 ) E ;
+ FIXED ( 0 1
19014
0 ) E ;
- hart_id_i[61] + NET hart_id_i[61] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
80138
0 ) E ;
+ FIXED ( 0 1
19742
0 ) E ;
- hart_id_i[60] + NET hart_id_i[60] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
80054
0 ) E ;
+ FIXED ( 0 1
20470
0 ) E ;
- hart_id_i[59] + NET hart_id_i[59] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
79970
0 ) E ;
+ FIXED ( 0 1
21226
0 ) E ;
- hart_id_i[58] + NET hart_id_i[58] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
79886
0 ) E ;
+ FIXED ( 0 1
21954
0 ) E ;
- hart_id_i[57] + NET hart_id_i[57] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
7980
20 ) E ;
+ FIXED ( 0 1
2268
20 ) E ;
- hart_id_i[56] + NET hart_id_i[56] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
79718
0 ) E ;
+ FIXED ( 0 1
23410
0 ) E ;
- hart_id_i[55] + NET hart_id_i[55] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
79634
0 ) E ;
+ FIXED ( 0 1
24138
0 ) E ;
- hart_id_i[54] + NET hart_id_i[54] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
79550
0 ) E ;
+ FIXED ( 0 1
24866
0 ) E ;
- hart_id_i[53] + NET hart_id_i[53] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
79466
0 ) E ;
+ FIXED ( 0 1
25594
0 ) E ;
- hart_id_i[52] + NET hart_id_i[52] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
79382
0 ) E ;
+ FIXED ( 0 1
26350
0 ) E ;
- hart_id_i[51] + NET hart_id_i[51] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
7929
80 ) E ;
+ FIXED ( 0 1
2707
80 ) E ;
- hart_id_i[50] + NET hart_id_i[50] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
79214
0 ) E ;
+ FIXED ( 0 1
27806
0 ) E ;
- hart_id_i[49] + NET hart_id_i[49] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
79130
0 ) E ;
+ FIXED ( 0 1
28534
0 ) E ;
- hart_id_i[48] + NET hart_id_i[48] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
79046
0 ) E ;
+ FIXED ( 0 1
29262
0 ) E ;
- hart_id_i[47] + NET hart_id_i[47] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
78962
0 ) E ;
+ FIXED ( 0 1
29990
0 ) E ;
- hart_id_i[46] + NET hart_id_i[46] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
7887
80 ) E ;
+ FIXED ( 0 1
3071
80 ) E ;
- hart_id_i[45] + NET hart_id_i[45] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
78794
0 ) E ;
+ FIXED ( 0 1
31446
0 ) E ;
- hart_id_i[44] + NET hart_id_i[44] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
78710
0 ) E ;
+ FIXED ( 0 1
32202
0 ) E ;
- hart_id_i[43] + NET hart_id_i[43] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
78626
0 ) E ;
+ FIXED ( 0 1
32930
0 ) E ;
- hart_id_i[42] + NET hart_id_i[42] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
78542
0 ) E ;
+ FIXED ( 0 1
33658
0 ) E ;
- hart_id_i[41] + NET hart_id_i[41] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
78458
0 ) E ;
+ FIXED ( 0 1
34386
0 ) E ;
- hart_id_i[40] + NET hart_id_i[40] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
7837
40 ) E ;
+ FIXED ( 0 1
3511
40 ) E ;
- hart_id_i[39] + NET hart_id_i[39] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
78290
0 ) E ;
+ FIXED ( 0 1
35842
0 ) E ;
- hart_id_i[38] + NET hart_id_i[38] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
78206
0 ) E ;
+ FIXED ( 0 1
36570
0 ) E ;
- hart_id_i[37] + NET hart_id_i[37] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
78122
0 ) E ;
+ FIXED ( 0 1
37298
0 ) E ;
- hart_id_i[36] + NET hart_id_i[36] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
78038
0 ) E ;
+ FIXED ( 0 1
38054
0 ) E ;
- hart_id_i[35] + NET hart_id_i[35] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
77954
0 ) E ;
+ FIXED ( 0 1
38782
0 ) E ;
- hart_id_i[34] + NET hart_id_i[34] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
7787
00 ) E ;
+ FIXED ( 0 1
3951
00 ) E ;
- hart_id_i[33] + NET hart_id_i[33] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
77786
0 ) E ;
+ FIXED ( 0 1
40238
0 ) E ;
- hart_id_i[32] + NET hart_id_i[32] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
77702
0 ) E ;
+ FIXED ( 0 1
40966
0 ) E ;
- hart_id_i[31] + NET hart_id_i[31] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
77618
0 ) E ;
+ FIXED ( 0 1
41694
0 ) E ;
- hart_id_i[30] + NET hart_id_i[30] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
77534
0 ) E ;
+ FIXED ( 0 1
42422
0 ) E ;
- hart_id_i[29] + NET hart_id_i[29] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
77450
0 ) E ;
+ FIXED ( 0 1
43178
0 ) E ;
- hart_id_i[28] + NET hart_id_i[28] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
7736
60 ) E ;
+ FIXED ( 0 1
4390
60 ) E ;
- hart_id_i[27] + NET hart_id_i[27] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
77282
0 ) E ;
+ FIXED ( 0 1
44634
0 ) E ;
- hart_id_i[26] + NET hart_id_i[26] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
77198
0 ) E ;
+ FIXED ( 0 1
45362
0 ) E ;
- hart_id_i[25] + NET hart_id_i[25] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
77114
0 ) E ;
+ FIXED ( 0 1
46090
0 ) E ;
- hart_id_i[24] + NET hart_id_i[24] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
77030
0 ) E ;
+ FIXED ( 0 1
46818
0 ) E ;
- hart_id_i[23] + NET hart_id_i[23] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
769
460 ) E ;
+ FIXED ( 0 1
475
460 ) E ;
- hart_id_i[22] + NET hart_id_i[22] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
76862
0 ) E ;
+ FIXED ( 0 1
48274
0 ) E ;
- hart_id_i[21] + NET hart_id_i[21] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
76778
0 ) E ;
+ FIXED ( 0 1
49030
0 ) E ;
- hart_id_i[20] + NET hart_id_i[20] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
76694
0 ) E ;
+ FIXED ( 0 1
49758
0 ) E ;
- hart_id_i[19] + NET hart_id_i[19] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
76610
0 ) E ;
+ FIXED ( 0 1
50486
0 ) E ;
- hart_id_i[18] + NET hart_id_i[18] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
76526
0 ) E ;
+ FIXED ( 0 1
51214
0 ) E ;
- hart_id_i[17] + NET hart_id_i[17] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
764
420 ) E ;
+ FIXED ( 0 1
519
420 ) E ;
- hart_id_i[16] + NET hart_id_i[16] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
76358
0 ) E ;
+ FIXED ( 0 1
52670
0 ) E ;
- hart_id_i[15] + NET hart_id_i[15] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
76274
0 ) E ;
+ FIXED ( 0 1
53398
0 ) E ;
- hart_id_i[14] + NET hart_id_i[14] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
76190
0 ) E ;
+ FIXED ( 0 1
54126
0 ) E ;
- hart_id_i[13] + NET hart_id_i[13] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
76106
0 ) E ;
+ FIXED ( 0 1
54882
0 ) E ;
- hart_id_i[12] + NET hart_id_i[12] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
76022
0 ) E ;
+ FIXED ( 0 1
55610
0 ) E ;
- hart_id_i[11] + NET hart_id_i[11] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
759
380 ) E ;
+ FIXED ( 0 1
563
380 ) E ;
- hart_id_i[10] + NET hart_id_i[10] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
75854
0 ) E ;
+ FIXED ( 0 1
57066
0 ) E ;
- hart_id_i[9] + NET hart_id_i[9] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
75770
0 ) E ;
+ FIXED ( 0 1
57794
0 ) E ;
- hart_id_i[8] + NET hart_id_i[8] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
75686
0 ) E ;
+ FIXED ( 0 1
58522
0 ) E ;
- hart_id_i[7] + NET hart_id_i[7] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
75602
0 ) E ;
+ FIXED ( 0 1
59250
0 ) E ;
- hart_id_i[6] + NET hart_id_i[6] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
7551
80 ) E ;
+ FIXED ( 0 1
5997
80 ) E ;
- hart_id_i[5] + NET hart_id_i[5] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
754
340 ) E ;
+ FIXED ( 0 1
607
340 ) E ;
- hart_id_i[4] + NET hart_id_i[4] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
75350
0 ) E ;
+ FIXED ( 0 1
61462
0 ) E ;
- hart_id_i[3] + NET hart_id_i[3] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
75266
0 ) E ;
+ FIXED ( 0 1
62190
0 ) E ;
- hart_id_i[2] + NET hart_id_i[2] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
75182
0 ) E ;
+ FIXED ( 0 1
62918
0 ) E ;
- hart_id_i[1] + NET hart_id_i[1] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
75098
0 ) E ;
+ FIXED ( 0 1
63646
0 ) E ;
- hart_id_i[0] + NET hart_id_i[0] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
7501
40 ) E ;
+ FIXED ( 0 1
6437
40 ) E ;
- irq_i[1] + NET irq_i[1] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
80558
0 ) E ;
+ FIXED ( 0 1
65102
0 ) E ;
- irq_i[0] + NET irq_i[0] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
80474
0 ) E ;
+ FIXED ( 0 1
65858
0 ) E ;
- ipi_i + NET ipi_i + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
80390
0 ) E ;
+ FIXED ( 0 1
66586
0 ) E ;
- time_irq_i + NET time_irq_i + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
80726
0 ) E ;
+ FIXED ( 0 1
67314
0 ) E ;
- debug_req_i + NET debug_req_i + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
74930
0 ) E ;
+ FIXED ( 0 1
68042
0 ) E ;
- axi_req_o[277] + NET axi_req_o[277] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
8991
00 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
6877
00 ) E ;
- axi_req_o[276] + NET axi_req_o[276] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
89686
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
69498
0 ) E ;
- axi_req_o[275] + NET axi_req_o[275] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
89462
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
70226
0 ) E ;
- axi_req_o[274] + NET axi_req_o[274] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
89182
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
70954
0 ) E ;
- axi_req_o[273] + NET axi_req_o[273] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
88958
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
71710
0 ) E ;
- axi_req_o[272] + NET axi_req_o[272] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
8867
80 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
7243
80 ) E ;
- axi_req_o[271] + NET axi_req_o[271] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
88454
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
73166
0 ) E ;
- axi_req_o[270] + NET axi_req_o[270] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
8817
40 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
7389
40 ) E ;
- axi_req_o[269] + NET axi_req_o[269] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
87950
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
74622
0 ) E ;
- axi_req_o[268] + NET axi_req_o[268] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
8767
00 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
7535
00 ) E ;
- axi_req_o[267] + NET axi_req_o[267] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
87446
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
76078
0 ) E ;
- axi_req_o[266] + NET axi_req_o[266] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
8716
60 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
7680
60 ) E ;
- axi_req_o[265] + NET axi_req_o[265] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
8694
20 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
7756
20 ) E ;
- axi_req_o[264] + NET axi_req_o[264] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
86662
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
78290
0 ) E ;
- axi_req_o[263] + NET axi_req_o[263] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
8643
80 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
7901
80 ) E ;
- axi_req_o[262] + NET axi_req_o[262] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
86158
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
79746
0 ) E ;
- axi_req_o[261] + NET axi_req_o[261] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 18
593
40 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 18
047
40 ) E ;
- axi_req_o[260] + NET axi_req_o[260] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 18
5654
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 18
1202
0 ) E ;
- axi_req_o[259] + NET axi_req_o[259] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 18
54
300 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 18
19
300 ) E ;
- axi_req_o[258] + NET axi_req_o[258] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 18
5150
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 18
2686
0 ) E ;
- axi_req_o[257] + NET axi_req_o[257] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 18
4926
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 18
3414
0 ) E ;
- axi_req_o[256] + NET axi_req_o[256] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 184
646
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 184
142
0 ) E ;
- axi_req_o[255] + NET axi_req_o[255] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 184
422
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 184
870
0 ) E ;
- axi_req_o[254] + NET axi_req_o[254] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 18
4142
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 18
5598
0 ) E ;
- axi_req_o[253] + NET axi_req_o[253] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 18
3918
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 18
6326
0 ) E ;
- axi_req_o[252] + NET axi_req_o[252] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 18
3638
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 18
7054
0 ) E ;
- axi_req_o[251] + NET axi_req_o[251] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 18
3414
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 18
7782
0 ) E ;
- axi_req_o[250] + NET axi_req_o[250] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 18
3134
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 18
8538
0 ) E ;
- axi_req_o[249] + NET axi_req_o[249] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 18
2910
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 18
9266
0 ) E ;
- axi_req_o[248] + NET axi_req_o[248] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 18
2630
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 18
9994
0 ) E ;
- axi_req_o[247] + NET axi_req_o[247] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
82406
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
90722
0 ) E ;
- axi_req_o[246] + NET axi_req_o[246] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
82126
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
91450
0 ) E ;
- axi_req_o[245] + NET axi_req_o[245] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
81902
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
92178
0 ) E ;
- axi_req_o[244] + NET axi_req_o[244] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
81622
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
92906
0 ) E ;
- axi_req_o[243] + NET axi_req_o[243] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
81398
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
93634
0 ) E ;
- axi_req_o[242] + NET axi_req_o[242] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
81118
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
94390
0 ) E ;
- axi_req_o[241] + NET axi_req_o[241] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
80894
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
95118
0 ) E ;
- axi_req_o[240] + NET axi_req_o[240] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
80614
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
95846
0 ) E ;
- axi_req_o[239] + NET axi_req_o[239] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
80390
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
96574
0 ) E ;
- axi_req_o[238] + NET axi_req_o[238] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
80110
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
97302
0 ) E ;
- axi_req_o[237] + NET axi_req_o[237] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
79886
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
98030
0 ) E ;
- axi_req_o[236] + NET axi_req_o[236] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
79606
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
98758
0 ) E ;
- axi_req_o[235] + NET axi_req_o[235] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
79382
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
99514
0 ) E ;
- axi_req_o[234] + NET axi_req_o[234] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
17910
20 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
20024
20 ) E ;
- axi_req_o[233] + NET axi_req_o[233] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
178878
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
200970
0 ) E ;
- axi_req_o[232] + NET axi_req_o[232] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
1785
980 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
2016
980 ) E ;
- axi_req_o[231] + NET axi_req_o[231] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
178374
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
202426
0 ) E ;
- axi_req_o[230] + NET axi_req_o[230] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
17809
40 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
20315
40 ) E ;
- axi_req_o[229] + NET axi_req_o[229] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
177870
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
203882
0 ) E ;
- axi_req_o[228] + NET axi_req_o[228] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
17759
00 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
20461
00 ) E ;
- axi_req_o[227] + NET axi_req_o[227] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
177
3660 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
205
3660 ) E ;
- axi_req_o[226] + NET axi_req_o[226] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
177086
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
206094
0 ) E ;
- axi_req_o[225] + NET axi_req_o[225] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
17686
20 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
20682
20 ) E ;
- axi_req_o[224] + NET axi_req_o[224] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
176582
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
207550
0 ) E ;
- axi_req_o[223] + NET axi_req_o[223] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
17635
80 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
20827
80 ) E ;
- axi_req_o[222] + NET axi_req_o[222] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
176078
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
209006
0 ) E ;
- axi_req_o[221] + NET axi_req_o[221] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
17585
40 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
20973
40 ) E ;
- axi_req_o[220] + NET axi_req_o[220] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
175574
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
210462
0 ) E ;
- axi_req_o[219] + NET axi_req_o[219] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
175350
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
211218
0 ) E ;
- axi_req_o[218] + NET axi_req_o[218] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
175070
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
211946
0 ) E ;
- axi_req_o[217] + NET axi_req_o[217] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
174846
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
212674
0 ) E ;
- axi_req_o[216] + NET axi_req_o[216] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
174566
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
213402
0 ) E ;
- axi_req_o[215] + NET axi_req_o[215] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
174342
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
214130
0 ) E ;
- axi_req_o[214] + NET axi_req_o[214] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
174062
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
214858
0 ) E ;
- axi_req_o[213] + NET axi_req_o[213] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
173838
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
215586
0 ) E ;
- axi_req_o[212] + NET axi_req_o[212] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
173558
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
216342
0 ) E ;
- axi_req_o[211] + NET axi_req_o[211] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
173334
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
217070
0 ) E ;
- axi_req_o[210] + NET axi_req_o[210] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
173054
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
217798
0 ) E ;
- axi_req_o[209] + NET axi_req_o[209] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
172830
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
218526
0 ) E ;
- axi_req_o[208] + NET axi_req_o[208] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
172550
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
219254
0 ) E ;
- axi_req_o[207] + NET axi_req_o[207] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
172326
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
219982
0 ) E ;
- axi_req_o[206] + NET axi_req_o[206] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
172046
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
220710
0 ) E ;
- axi_req_o[205] + NET axi_req_o[205] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
171822
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
221438
0 ) E ;
- axi_req_o[204] + NET axi_req_o[204] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
171542
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
222194
0 ) E ;
- axi_req_o[203] + NET axi_req_o[203] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
171318
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
222922
0 ) E ;
- axi_req_o[202] + NET axi_req_o[202] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
171038
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
223650
0 ) E ;
- axi_req_o[201] + NET axi_req_o[201] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
170814
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
224378
0 ) E ;
- axi_req_o[200] + NET axi_req_o[200] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
170534
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
225106
0 ) E ;
- axi_req_o[199] + NET axi_req_o[199] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
170310
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
225834
0 ) E ;
- axi_req_o[198] + NET axi_req_o[198] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
170030
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
226562
0 ) E ;
- axi_req_o[197] + NET axi_req_o[197] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
169806
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
227290
0 ) E ;
- axi_req_o[196] + NET axi_req_o[196] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
16952
60 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
22804
60 ) E ;
- axi_req_o[195] + NET axi_req_o[195] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
169302
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
228774
0 ) E ;
- axi_req_o[194] + NET axi_req_o[194] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
16902
20 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
22950
20 ) E ;
- axi_req_o[193] + NET axi_req_o[193] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
168798
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
230230
0 ) E ;
- axi_req_o[192] + NET axi_req_o[192] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
16851
80 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
23095
80 ) E ;
- axi_req_o[191] + NET axi_req_o[191] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
168294
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
231686
0 ) E ;
- axi_req_o[190] + NET axi_req_o[190] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
1680
140 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
2324
140 ) E ;
- axi_req_o[189] + NET axi_req_o[189] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
167790
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
233142
0 ) E ;
- axi_req_o[188] + NET axi_req_o[188] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
167510
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
233898
0 ) E ;
- axi_req_o[187] + NET axi_req_o[187] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
16728
60 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
23462
60 ) E ;
- axi_req_o[186] + NET axi_req_o[186] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
167006
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
235354
0 ) E ;
- axi_req_o[185] + NET axi_req_o[185] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
1667
820 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
2360
820 ) E ;
- axi_req_o[184] + NET axi_req_o[184] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
166502
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
236810
0 ) E ;
- axi_req_o[183] + NET axi_req_o[183] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
16627
80 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
23753
80 ) E ;
- axi_req_o[182] + NET axi_req_o[182] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
165998
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
238266
0 ) E ;
- axi_req_o[181] + NET axi_req_o[181] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
165774
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
239022
0 ) E ;
- axi_req_o[180] + NET axi_req_o[180] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
165494
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
239750
0 ) E ;
- axi_req_o[179] + NET axi_req_o[179] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
165270
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
240478
0 ) E ;
- axi_req_o[178] + NET axi_req_o[178] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
164990
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
241206
0 ) E ;
- axi_req_o[177] + NET axi_req_o[177] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
164766
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
241934
0 ) E ;
- axi_req_o[176] + NET axi_req_o[176] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
164486
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
242662
0 ) E ;
- axi_req_o[175] + NET axi_req_o[175] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
164262
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
243390
0 ) E ;
- axi_req_o[174] + NET axi_req_o[174] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
163982
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
244118
0 ) E ;
- axi_req_o[173] + NET axi_req_o[173] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
163758
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
244846
0 ) E ;
- axi_req_o[172] + NET axi_req_o[172] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
163478
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
245602
0 ) E ;
- axi_req_o[171] + NET axi_req_o[171] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
163254
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
246330
0 ) E ;
- axi_req_o[170] + NET axi_req_o[170] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
162974
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
247058
0 ) E ;
- axi_req_o[169] + NET axi_req_o[169] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
162750
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
247786
0 ) E ;
- axi_req_o[168] + NET axi_req_o[168] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
162470
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
248514
0 ) E ;
- axi_req_o[167] + NET axi_req_o[167] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
162246
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
249242
0 ) E ;
- axi_req_o[166] + NET axi_req_o[166] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
161966
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
249970
0 ) E ;
- axi_req_o[165] + NET axi_req_o[165] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5
( -140 0 ) ( 140 280 )
+ FIXED ( 0
161742
0 ) E ;
+ LAYER metal
4
( -140 0 ) ( 140 280 )
+ FIXED ( 0
70014
0 ) E ;
- axi_req_o[164] + NET axi_req_o[164] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5
( -140 0 ) ( 140 280 )
+ FIXED ( 0
16146
20 ) E ;
+ LAYER metal
4
( -140 0 ) ( 140 280 )
+ FIXED ( 0
7074
20 ) E ;
- axi_req_o[163] + NET axi_req_o[163] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5
( -140 0 ) ( 140 280 )
+ FIXED ( 0
161238
0 ) E ;
+ LAYER metal
4
( -140 0 ) ( 140 280 )
+ FIXED ( 0
71470
0 ) E ;
- axi_req_o[162] + NET axi_req_o[162] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5
( -140 0 ) ( 140 280 )
+ FIXED ( 0
16095
80 ) E ;
+ LAYER metal
4
( -140 0 ) ( 140 280 )
+ FIXED ( 0
7219
80 ) E ;
- axi_req_o[161] + NET axi_req_o[161] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5
( -140 0 ) ( 140 280 )
+ FIXED ( 0
160734
0 ) E ;
+ LAYER metal
4
( -140 0 ) ( 140 280 )
+ FIXED ( 0
72926
0 ) E ;
- axi_req_o[160] + NET axi_req_o[160] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5
( -140 0 ) ( 140 280 )
+ FIXED ( 0
1604
540 ) E ;
+ LAYER metal
4
( -140 0 ) ( 140 280 )
+ FIXED ( 0
736
540 ) E ;
- axi_req_o[159] + NET axi_req_o[159] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5
( -140 0 ) ( 140 280 )
+ FIXED ( 0
160230
0 ) E ;
+ LAYER metal
4
( -140 0 ) ( 140 280 )
+ FIXED ( 0
74382
0 ) E ;
- axi_req_o[158] + NET axi_req_o[158] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5
( -140 0 ) ( 140 280 )
+ FIXED ( 0
160006
0 ) E ;
+ LAYER metal
4
( -140 0 ) ( 140 280 )
+ FIXED ( 0
75110
0 ) E ;
- axi_req_o[157] + NET axi_req_o[157] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
186186
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
75838
0 ) E ;
- axi_req_o[156] + NET axi_req_o[156] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
186102
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
76566
0 ) E ;
- axi_req_o[155] + NET axi_req_o[155] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
185990
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
77294
0 ) E ;
- axi_req_o[154] + NET axi_req_o[154] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
185878
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
78022
0 ) E ;
- axi_req_o[153] + NET axi_req_o[153] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
185766
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
78750
0 ) E ;
- axi_req_o[152] + NET axi_req_o[152] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
185654
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
79478
0 ) E ;
- axi_req_o[151] + NET axi_req_o[151] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
185542
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
80206
0 ) E ;
- axi_req_o[150] + NET axi_req_o[150] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
185430
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
80934
0 ) E ;
- axi_req_o[149] + NET axi_req_o[149] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
185318
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
81662
0 ) E ;
- axi_req_o[148] + NET axi_req_o[148] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
185206
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
82390
0 ) E ;
- axi_req_o[147] + NET axi_req_o[147] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
185094
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
83118
0 ) E ;
- axi_req_o[146] + NET axi_req_o[146] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
184982
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
83846
0 ) E ;
- axi_req_o[145] + NET axi_req_o[145] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
184898
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
84574
0 ) E ;
- axi_req_o[144] + NET axi_req_o[144] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
184786
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
85302
0 ) E ;
- axi_req_o[143] + NET axi_req_o[143] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
184674
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
86030
0 ) E ;
- axi_req_o[142] + NET axi_req_o[142] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
184562
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
86758
0 ) E ;
- axi_req_o[141] + NET axi_req_o[141] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
184450
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
87486
0 ) E ;
- axi_req_o[140] + NET axi_req_o[140] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
184338
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
88214
0 ) E ;
- axi_req_o[139] + NET axi_req_o[139] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
184226
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
88942
0 ) E ;
- axi_req_o[138] + NET axi_req_o[138] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
184114
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
89670
0 ) E ;
- axi_req_o[137] + NET axi_req_o[137] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
184002
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
90398
0 ) E ;
- axi_req_o[136] + NET axi_req_o[136] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
183890
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
91126
0 ) E ;
- axi_req_o[135] + NET axi_req_o[135] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
183778
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
91854
0 ) E ;
- axi_req_o[134] + NET axi_req_o[134] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
183666
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
92582
0 ) E ;
- axi_req_o[133] + NET axi_req_o[133] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
183582
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
93310
0 ) E ;
- axi_req_o[132] + NET axi_req_o[132] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
183470
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
94038
0 ) E ;
- axi_req_o[131] + NET axi_req_o[131] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
183358
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
94766
0 ) E ;
- axi_req_o[130] + NET axi_req_o[130] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
183246
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
95494
0 ) E ;
- axi_req_o[129] + NET axi_req_o[129] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
183134
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
96222
0 ) E ;
- axi_req_o[128] + NET axi_req_o[128] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
183022
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
96950
0 ) E ;
- axi_req_o[127] + NET axi_req_o[127] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
182910
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
97678
0 ) E ;
- axi_req_o[126] + NET axi_req_o[126] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
182798
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
98406
0 ) E ;
- axi_req_o[125] + NET axi_req_o[125] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
182686
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
99134
0 ) E ;
- axi_req_o[124] + NET axi_req_o[124] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
182574
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
99862
0 ) E ;
- axi_req_o[123] + NET axi_req_o[123] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
82462
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
00618
0 ) E ;
- axi_req_o[122] + NET axi_req_o[122] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
82350
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
01346
0 ) E ;
- axi_req_o[121] + NET axi_req_o[121] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
82266
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
02074
0 ) E ;
- axi_req_o[120] + NET axi_req_o[120] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
82154
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
02802
0 ) E ;
- axi_req_o[119] + NET axi_req_o[119] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
82042
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
03530
0 ) E ;
- axi_req_o[118] + NET axi_req_o[118] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
81930
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
04258
0 ) E ;
- axi_req_o[117] + NET axi_req_o[117] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
81818
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
04986
0 ) E ;
- axi_req_o[116] + NET axi_req_o[116] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
81706
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
05714
0 ) E ;
- axi_req_o[115] + NET axi_req_o[115] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
81594
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
06442
0 ) E ;
- axi_req_o[114] + NET axi_req_o[114] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
81482
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
07170
0 ) E ;
- axi_req_o[113] + NET axi_req_o[113] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
81370
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
07898
0 ) E ;
- axi_req_o[112] + NET axi_req_o[112] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
81258
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
08626
0 ) E ;
- axi_req_o[111] + NET axi_req_o[111] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
81146
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
09354
0 ) E ;
- axi_req_o[110] + NET axi_req_o[110] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
81034
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
10082
0 ) E ;
- axi_req_o[109] + NET axi_req_o[109] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
8095
00 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
1081
00 ) E ;
- axi_req_o[108] + NET axi_req_o[108] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
808
380 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
115
380 ) E ;
- axi_req_o[107] + NET axi_req_o[107] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
80698
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
12266
0 ) E ;
- axi_req_o[106] + NET axi_req_o[106] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
8061
40 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
1299
40 ) E ;
- axi_req_o[105] + NET axi_req_o[105] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
8050
20 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
1372
20 ) E ;
- axi_req_o[104] + NET axi_req_o[104] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
80362
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
14450
0 ) E ;
- axi_req_o[103] + NET axi_req_o[103] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
802
780 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
151
780 ) E ;
- axi_req_o[102] + NET axi_req_o[102] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
8016
60 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
1590
60 ) E ;
- axi_req_o[101] + NET axi_req_o[101] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
80026
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
16634
0 ) E ;
- axi_req_o[100] + NET axi_req_o[100] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
7994
20 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
1736
20 ) E ;
- axi_req_o[99] + NET axi_req_o[99] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
7983
00 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
1809
00 ) E ;
- axi_req_o[98] + NET axi_req_o[98] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
79690
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
18818
0 ) E ;
- axi_req_o[97] + NET axi_req_o[97] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
7960
60 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
1954
60 ) E ;
- axi_req_o[96] + NET axi_req_o[96] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
79522
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
20274
0 ) E ;
- axi_req_o[95] + NET axi_req_o[95] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
79410
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
21002
0 ) E ;
- axi_req_o[94] + NET axi_req_o[94] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
7927
00 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
2173
00 ) E ;
- axi_req_o[93] + NET axi_req_o[93] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
79186
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
22458
0 ) E ;
- axi_req_o[92] + NET axi_req_o[92] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
79074
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
23186
0 ) E ;
- axi_req_o[91] + NET axi_req_o[91] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
7893
40 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
2391
40 ) E ;
- axi_req_o[90] + NET axi_req_o[90] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
78850
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
24642
0 ) E ;
- axi_req_o[89] + NET axi_req_o[89] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
78738
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
25370
0 ) E ;
- axi_req_o[88] + NET axi_req_o[88] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
785
980 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
260
980 ) E ;
- axi_req_o[87] + NET axi_req_o[87] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
78514
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
26826
0 ) E ;
- axi_req_o[86] + NET axi_req_o[86] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
78402
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
27554
0 ) E ;
- axi_req_o[85] + NET axi_req_o[85] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
78318
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
28282
0 ) E ;
- axi_req_o[84] + NET axi_req_o[84] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
78178
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
29010
0 ) E ;
- axi_req_o[83] + NET axi_req_o[83] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
78094
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
29738
0 ) E ;
- axi_req_o[82] + NET axi_req_o[82] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
77982
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
30494
0 ) E ;
- axi_req_o[81] + NET axi_req_o[81] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
7784
20 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
3122
20 ) E ;
- axi_req_o[80] + NET axi_req_o[80] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
77758
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
31950
0 ) E ;
- axi_req_o[79] + NET axi_req_o[79] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
77646
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
32678
0 ) E ;
- axi_req_o[78] + NET axi_req_o[78] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
775
060 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
334
060 ) E ;
- axi_req_o[77] + NET axi_req_o[77] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
77422
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
34134
0 ) E ;
- axi_req_o[76] + NET axi_req_o[76] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
77310
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
34862
0 ) E ;
- axi_req_o[75] + NET axi_req_o[75] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
7717
00 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
3559
00 ) E ;
- axi_req_o[74] + NET axi_req_o[74] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
77086
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
36318
0 ) E ;
- axi_req_o[73] + NET axi_req_o[73] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
77002
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
37046
0 ) E ;
- axi_req_o[72] + NET axi_req_o[72] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
76890
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
37774
0 ) E ;
- axi_req_o[71] + NET axi_req_o[71] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
76750
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
38502
0 ) E ;
- axi_req_o[70] + NET axi_req_o[70] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
76666
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
39230
0 ) E ;
- axi_req_o[69] + NET axi_req_o[69] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
76554
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
39958
0 ) E ;
- axi_req_o[68] + NET axi_req_o[68] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
76414
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
40686
0 ) E ;
- axi_req_o[67] + NET axi_req_o[67] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
76330
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
41414
0 ) E ;
- axi_req_o[66] + NET axi_req_o[66] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
76218
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
42142
0 ) E ;
- axi_req_o[65] + NET axi_req_o[65] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
76078
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
42870
0 ) E ;
- axi_req_o[64] + NET axi_req_o[64] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
75994
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
43598
0 ) E ;
- axi_req_o[63] + NET axi_req_o[63] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
75882
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
44326
0 ) E ;
- axi_req_o[62] + NET axi_req_o[62] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
75742
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
45054
0 ) E ;
- axi_req_o[61] + NET axi_req_o[61] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
75658
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
45782
0 ) E ;
- axi_req_o[60] + NET axi_req_o[60] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
75574
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
46510
0 ) E ;
- axi_req_o[59] + NET axi_req_o[59] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
75462
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
47238
0 ) E ;
- axi_req_o[58] + NET axi_req_o[58] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
75322
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
47966
0 ) E ;
- axi_req_o[57] + NET axi_req_o[57] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
75238
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
48694
0 ) E ;
- axi_req_o[56] + NET axi_req_o[56] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
75126
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
49422
0 ) E ;
- axi_req_o[55] + NET axi_req_o[55] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
74986
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
50150
0 ) E ;
- axi_req_o[54] + NET axi_req_o[54] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
74902
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
50878
0 ) E ;
- axi_req_o[53] + NET axi_req_o[53] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
74790
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
51606
0 ) E ;
- axi_req_o[52] + NET axi_req_o[52] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
74650
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
52334
0 ) E ;
- axi_req_o[51] + NET axi_req_o[51] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
74566
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
53062
0 ) E ;
- axi_req_o[50] + NET axi_req_o[50] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
74454
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
53790
0 ) E ;
- axi_req_o[49] + NET axi_req_o[49] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
74370
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
54518
0 ) E ;
- axi_req_o[48] + NET axi_req_o[48] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
74230
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
55246
0 ) E ;
- axi_req_o[47] + NET axi_req_o[47] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
74146
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
55974
0 ) E ;
- axi_req_o[46] + NET axi_req_o[46] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
74034
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
56702
0 ) E ;
- axi_req_o[45] + NET axi_req_o[45] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
73894
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
57430
0 ) E ;
- axi_req_o[44] + NET axi_req_o[44] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
73810
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
58158
0 ) E ;
- axi_req_o[43] + NET axi_req_o[43] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
73698
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
58886
0 ) E ;
- axi_req_o[42] + NET axi_req_o[42] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
73558
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
59614
0 ) E ;
- axi_req_o[41] + NET axi_req_o[41] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
73474
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
60370
0 ) E ;
- axi_req_o[40] + NET axi_req_o[40] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
73362
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
61098
0 ) E ;
- axi_req_o[39] + NET axi_req_o[39] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
73222
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
61826
0 ) E ;
- axi_req_o[38] + NET axi_req_o[38] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
73138
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
62554
0 ) E ;
- axi_req_o[37] + NET axi_req_o[37] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
73054
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
63282
0 ) E ;
- axi_req_o[36] + NET axi_req_o[36] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
72942
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
64010
0 ) E ;
- axi_req_o[35] + NET axi_req_o[35] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
72802
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
64738
0 ) E ;
- axi_req_o[34] + NET axi_req_o[34] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
72718
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
65466
0 ) E ;
- axi_req_o[33] + NET axi_req_o[33] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
72606
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
66194
0 ) E ;
- axi_req_o[32] + NET axi_req_o[32] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
72466
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
66922
0 ) E ;
- axi_req_o[31] + NET axi_req_o[31] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
72382
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
67650
0 ) E ;
- axi_req_o[30] + NET axi_req_o[30] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
72270
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
68378
0 ) E ;
- axi_req_o[29] + NET axi_req_o[29] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
72130
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
69106
0 ) E ;
- axi_req_o[28] + NET axi_req_o[28] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
72046
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
69834
0 ) E ;
- axi_req_o[27] + NET axi_req_o[27] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 17
1934
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 17
0562
0 ) E ;
- axi_req_o[26] + NET axi_req_o[26] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 171
794
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 171
290
0 ) E ;
- axi_req_o[25] + NET axi_req_o[25] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 17
1710
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 17
2018
0 ) E ;
- axi_req_o[24] + NET axi_req_o[24] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 17
162
60 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 17
274
60 ) E ;
- axi_req_o[23] + NET axi_req_o[23] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 17
1514
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 17
3502
0 ) E ;
- axi_req_o[22] + NET axi_req_o[22] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 17
1374
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 17
4202
0 ) E ;
- axi_req_o[21] + NET axi_req_o[21] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 17
129
00 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 17
493
00 ) E ;
- axi_req_o[20] + NET axi_req_o[20] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 17
117
80 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 17
565
80 ) E ;
- axi_req_o[19] + NET axi_req_o[19] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 17
1038
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 17
6386
0 ) E ;
- axi_req_o[18] + NET axi_req_o[18] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 17
095
40 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 17
711
40 ) E ;
- axi_req_o[17] + NET axi_req_o[17] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 17
0
8420 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 17
7
8420 ) E ;
- axi_req_o[16] + NET axi_req_o[16] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 17
0702
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 17
8570
0 ) E ;
- axi_req_o[15] + NET axi_req_o[15] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 17
061
80 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 17
929
80 ) E ;
- axi_req_o[14] + NET axi_req_o[14] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
7050
60 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
8002
60 ) E ;
- axi_req_o[13] + NET axi_req_o[13] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
70422
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
80754
0 ) E ;
- axi_req_o[12] + NET axi_req_o[12] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
702
820 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
814
820 ) E ;
- axi_req_o[11] + NET axi_req_o[11] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
70198
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
82210
0 ) E ;
- axi_req_o[10] + NET axi_req_o[10] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
70086
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
82938
0 ) E ;
- axi_req_o[9] + NET axi_req_o[9] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
6994
60 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
8366
60 ) E ;
- axi_req_o[8] + NET axi_req_o[8] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
69862
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
84394
0 ) E ;
- axi_req_o[7] + NET axi_req_o[7] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
697
500 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
851
500 ) E ;
- axi_req_o[6] + NET axi_req_o[6] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
69610
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
85822
0 ) E ;
- axi_req_o[5] + NET axi_req_o[5] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
69526
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
86578
0 ) E ;
- axi_req_o[4] + NET axi_req_o[4] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
69414
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
87306
0 ) E ;
- axi_req_o[3] + NET axi_req_o[3] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
69302
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
88034
0 ) E ;
- axi_req_o[2] + NET axi_req_o[2] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
69190
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
88762
0 ) E ;
- axi_req_o[1] + NET axi_req_o[1] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
69106
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
89490
0 ) E ;
- axi_req_o[0] + NET axi_req_o[0] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
68994
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
90246
0 ) E ;
- axi_resp_i[81] + NET axi_resp_i[81] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
68882
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
90974
0 ) E ;
- axi_resp_i[80] + NET axi_resp_i[80] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
68770
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
91702
0 ) E ;
- axi_resp_i[79] + NET axi_resp_i[79] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
68658
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
92430
0 ) E ;
- axi_resp_i[78] + NET axi_resp_i[78] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
68546
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
93158
0 ) E ;
- axi_resp_i[77] + NET axi_resp_i[77] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
68434
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
93886
0 ) E ;
- axi_resp_i[76] + NET axi_resp_i[76] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
68322
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
94614
0 ) E ;
- axi_resp_i[75] + NET axi_resp_i[75] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
68210
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
95342
0 ) E ;
- axi_resp_i[74] + NET axi_resp_i[74] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
68098
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
96070
0 ) E ;
- axi_resp_i[73] + NET axi_resp_i[73] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
67986
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
96798
0 ) E ;
- axi_resp_i[72] + NET axi_resp_i[72] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
67874
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
97526
0 ) E ;
- axi_resp_i[71] + NET axi_resp_i[71] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
67790
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
98254
0 ) E ;
- axi_resp_i[70] + NET axi_resp_i[70] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
67678
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
98982
0 ) E ;
- axi_resp_i[69] + NET axi_resp_i[69] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
67566
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
99710
0 ) E ;
- axi_resp_i[68] + NET axi_resp_i[68] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
167454
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
200438
0 ) E ;
- axi_resp_i[67] + NET axi_resp_i[67] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
167342
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
201166
0 ) E ;
- axi_resp_i[66] + NET axi_resp_i[66] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
167230
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
201894
0 ) E ;
- axi_resp_i[65] + NET axi_resp_i[65] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
167118
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
202622
0 ) E ;
- axi_resp_i[64] + NET axi_resp_i[64] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
167006
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
203350
0 ) E ;
- axi_resp_i[63] + NET axi_resp_i[63] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
166894
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
204078
0 ) E ;
- axi_resp_i[62] + NET axi_resp_i[62] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
166782
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
204806
0 ) E ;
- axi_resp_i[61] + NET axi_resp_i[61] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
166670
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
205534
0 ) E ;
- axi_resp_i[60] + NET axi_resp_i[60] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
166558
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
206262
0 ) E ;
- axi_resp_i[59] + NET axi_resp_i[59] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
166474
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
206990
0 ) E ;
- axi_resp_i[58] + NET axi_resp_i[58] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
166362
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
207718
0 ) E ;
- axi_resp_i[57] + NET axi_resp_i[57] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
166250
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
208446
0 ) E ;
- axi_resp_i[56] + NET axi_resp_i[56] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
166138
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
209174
0 ) E ;
- axi_resp_i[55] + NET axi_resp_i[55] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
166026
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
209902
0 ) E ;
- axi_resp_i[54] + NET axi_resp_i[54] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
165914
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
210630
0 ) E ;
- axi_resp_i[53] + NET axi_resp_i[53] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
165802
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
211358
0 ) E ;
- axi_resp_i[52] + NET axi_resp_i[52] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
165690
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
212086
0 ) E ;
- axi_resp_i[51] + NET axi_resp_i[51] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
165578
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
212814
0 ) E ;
- axi_resp_i[50] + NET axi_resp_i[50] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
165466
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
213542
0 ) E ;
- axi_resp_i[49] + NET axi_resp_i[49] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
165354
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
214270
0 ) E ;
- axi_resp_i[48] + NET axi_resp_i[48] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
165242
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
214998
0 ) E ;
- axi_resp_i[47] + NET axi_resp_i[47] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
165158
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
215726
0 ) E ;
- axi_resp_i[46] + NET axi_resp_i[46] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
165046
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
216454
0 ) E ;
- axi_resp_i[45] + NET axi_resp_i[45] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
164934
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
217182
0 ) E ;
- axi_resp_i[44] + NET axi_resp_i[44] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
164822
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
217910
0 ) E ;
- axi_resp_i[43] + NET axi_resp_i[43] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
164710
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
218638
0 ) E ;
- axi_resp_i[42] + NET axi_resp_i[42] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
164598
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
219366
0 ) E ;
- axi_resp_i[41] + NET axi_resp_i[41] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
164486
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
220122
0 ) E ;
- axi_resp_i[40] + NET axi_resp_i[40] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
164374
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
220850
0 ) E ;
- axi_resp_i[39] + NET axi_resp_i[39] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
164262
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
221578
0 ) E ;
- axi_resp_i[38] + NET axi_resp_i[38] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
164150
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
222306
0 ) E ;
- axi_resp_i[37] + NET axi_resp_i[37] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
164038
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
223034
0 ) E ;
- axi_resp_i[36] + NET axi_resp_i[36] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
163926
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
223762
0 ) E ;
- axi_resp_i[35] + NET axi_resp_i[35] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
163842
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
224490
0 ) E ;
- axi_resp_i[34] + NET axi_resp_i[34] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
163730
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
225218
0 ) E ;
- axi_resp_i[33] + NET axi_resp_i[33] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
163618
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
225946
0 ) E ;
- axi_resp_i[32] + NET axi_resp_i[32] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
163506
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
226674
0 ) E ;
- axi_resp_i[31] + NET axi_resp_i[31] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
163394
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
227402
0 ) E ;
- axi_resp_i[30] + NET axi_resp_i[30] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
163282
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
228130
0 ) E ;
- axi_resp_i[29] + NET axi_resp_i[29] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
163170
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
228858
0 ) E ;
- axi_resp_i[28] + NET axi_resp_i[28] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
163058
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
229586
0 ) E ;
- axi_resp_i[27] + NET axi_resp_i[27] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
162946
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
230314
0 ) E ;
- axi_resp_i[26] + NET axi_resp_i[26] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
162834
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
231042
0 ) E ;
- axi_resp_i[25] + NET axi_resp_i[25] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
162722
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
231770
0 ) E ;
- axi_resp_i[24] + NET axi_resp_i[24] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
162610
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
232498
0 ) E ;
- axi_resp_i[23] + NET axi_resp_i[23] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
1625
260 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
2332
260 ) E ;
- axi_resp_i[22] + NET axi_resp_i[22] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
16241
40 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
23395
40 ) E ;
- axi_resp_i[21] + NET axi_resp_i[21] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
16230
20 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
23468
20 ) E ;
- axi_resp_i[20] + NET axi_resp_i[20] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
16219
00 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
23541
00 ) E ;
- axi_resp_i[19] + NET axi_resp_i[19] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
16207
80 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
23613
80 ) E ;
- axi_resp_i[18] + NET axi_resp_i[18] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
1619
660 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
2368
660 ) E ;
- axi_resp_i[17] + NET axi_resp_i[17] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
16185
40 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
23759
40 ) E ;
- axi_resp_i[16] + NET axi_resp_i[16] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
16174
20 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
23832
20 ) E ;
- axi_resp_i[15] + NET axi_resp_i[15] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
16163
00 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
23905
00 ) E ;
- axi_resp_i[14] + NET axi_resp_i[14] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
16151
80 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
23977
80 ) E ;
- axi_resp_i[13] + NET axi_resp_i[13] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
1614
060 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
2405
060 ) E ;
- axi_resp_i[12] + NET axi_resp_i[12] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
16129
40 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
24123
40 ) E ;
- axi_resp_i[11] + NET axi_resp_i[11] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
161210
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
241962
0 ) E ;
- axi_resp_i[10] + NET axi_resp_i[10] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
161098
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
242690
0 ) E ;
- axi_resp_i[9] + NET axi_resp_i[9] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
160986
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
243418
0 ) E ;
- axi_resp_i[8] + NET axi_resp_i[8] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
160874
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
244146
0 ) E ;
- axi_resp_i[7] + NET axi_resp_i[7] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
160762
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
244874
0 ) E ;
- axi_resp_i[6] + NET axi_resp_i[6] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
160650
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
245602
0 ) E ;
- axi_resp_i[5] + NET axi_resp_i[5] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
160538
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
246330
0 ) E ;
- axi_resp_i[4] + NET axi_resp_i[4] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
160426
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
247058
0 ) E ;
- axi_resp_i[3] + NET axi_resp_i[3] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
160314
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
247786
0 ) E ;
- axi_resp_i[2] + NET axi_resp_i[2] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
160202
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
248514
0 ) E ;
- axi_resp_i[1] + NET axi_resp_i[1] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
160090
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
249242
0 ) E ;
- axi_resp_i[0] + NET axi_resp_i[0] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
160006
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
249970
0 ) E ;
END PINS
SPECIALNETS 2 ;
- VDD ( * VDD )
+ USE POWER
;
- VSS ( * VSS )
+ USE GROUND
;
END SPECIALNETS
END DESIGN
Flows/NanGate45/ariane133/def/ariane133_fp_placed_macros.def
View file @
c148f72d
...
...
@@ -2737,1502 +2737,1493 @@ COMPONENTS 133 ;
;
END COMPONENTS
PINS 495 ;
- clk_i + NET clk_i + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
174846
0 ) E ;
+ FIXED ( 0
70014
0 ) E ;
- rst_ni + NET rst_ni + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
1806
420 ) E ;
+ FIXED ( 0
707
420 ) E ;
- boot_addr_i[63] + NET boot_addr_i[63] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
174762
0 ) E ;
+ FIXED ( 0
71470
0 ) E ;
- boot_addr_i[62] + NET boot_addr_i[62] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
17467
80 ) E ;
+ FIXED ( 0
7219
80 ) E ;
- boot_addr_i[61] + NET boot_addr_i[61] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
174594
0 ) E ;
+ FIXED ( 0
72926
0 ) E ;
- boot_addr_i[60] + NET boot_addr_i[60] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
174510
0 ) E ;
+ FIXED ( 0
73654
0 ) E ;
- boot_addr_i[59] + NET boot_addr_i[59] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
174426
0 ) E ;
+ FIXED ( 0
74382
0 ) E ;
- boot_addr_i[58] + NET boot_addr_i[58] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
174342
0 ) E ;
+ FIXED ( 0
75110
0 ) E ;
- boot_addr_i[57] + NET boot_addr_i[57] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
174258
0 ) E ;
+ FIXED ( 0
75866
0 ) E ;
- boot_addr_i[56] + NET boot_addr_i[56] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
17417
40 ) E ;
+ FIXED ( 0
7659
40 ) E ;
- boot_addr_i[55] + NET boot_addr_i[55] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
174090
0 ) E ;
+ FIXED ( 0
77322
0 ) E ;
- boot_addr_i[54] + NET boot_addr_i[54] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
174006
0 ) E ;
+ FIXED ( 0
78050
0 ) E ;
- boot_addr_i[53] + NET boot_addr_i[53] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
173922
0 ) E ;
+ FIXED ( 0
78778
0 ) E ;
- boot_addr_i[52] + NET boot_addr_i[52] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
173838
0 ) E ;
+ FIXED ( 0
79506
0 ) E ;
- boot_addr_i[51] + NET boot_addr_i[51] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
17375
40 ) E ;
+ FIXED ( 0
8023
40 ) E ;
- boot_addr_i[50] + NET boot_addr_i[50] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
173670
0 ) E ;
+ FIXED ( 0
80962
0 ) E ;
- boot_addr_i[49] + NET boot_addr_i[49] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
173586
0 ) E ;
+ FIXED ( 0
81718
0 ) E ;
- boot_addr_i[48] + NET boot_addr_i[48] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
173502
0 ) E ;
+ FIXED ( 0
82446
0 ) E ;
- boot_addr_i[47] + NET boot_addr_i[47] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
173418
0 ) E ;
+ FIXED ( 0
83174
0 ) E ;
- boot_addr_i[46] + NET boot_addr_i[46] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
173334
0 ) E ;
+ FIXED ( 0
83902
0 ) E ;
- boot_addr_i[45] + NET boot_addr_i[45] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
17325
00 ) E ;
+ FIXED ( 0
8463
00 ) E ;
- boot_addr_i[44] + NET boot_addr_i[44] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
173166
0 ) E ;
+ FIXED ( 0
85358
0 ) E ;
- boot_addr_i[43] + NET boot_addr_i[43] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
173082
0 ) E ;
+ FIXED ( 0
86086
0 ) E ;
- boot_addr_i[42] + NET boot_addr_i[42] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
172998
0 ) E ;
+ FIXED ( 0
86814
0 ) E ;
- boot_addr_i[41] + NET boot_addr_i[41] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
172914
0 ) E ;
+ FIXED ( 0
87570
0 ) E ;
- boot_addr_i[40] + NET boot_addr_i[40] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
172830
0 ) E ;
+ FIXED ( 0
88298
0 ) E ;
- boot_addr_i[39] + NET boot_addr_i[39] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
17274
60 ) E ;
+ FIXED ( 0
8902
60 ) E ;
- boot_addr_i[38] + NET boot_addr_i[38] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
172662
0 ) E ;
+ FIXED ( 0
89754
0 ) E ;
- boot_addr_i[37] + NET boot_addr_i[37] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
172578
0 ) E ;
+ FIXED ( 0
90482
0 ) E ;
- boot_addr_i[36] + NET boot_addr_i[36] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
172494
0 ) E ;
+ FIXED ( 0
91210
0 ) E ;
- boot_addr_i[35] + NET boot_addr_i[35] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
172410
0 ) E ;
+ FIXED ( 0
91938
0 ) E ;
- boot_addr_i[34] + NET boot_addr_i[34] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
172326
0 ) E ;
+ FIXED ( 0
92694
0 ) E ;
- boot_addr_i[33] + NET boot_addr_i[33] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
17224
20 ) E ;
+ FIXED ( 0
9342
20 ) E ;
- boot_addr_i[32] + NET boot_addr_i[32] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
172158
0 ) E ;
+ FIXED ( 0
94150
0 ) E ;
- boot_addr_i[31] + NET boot_addr_i[31] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
172074
0 ) E ;
+ FIXED ( 0
94878
0 ) E ;
- boot_addr_i[30] + NET boot_addr_i[30] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
171990
0 ) E ;
+ FIXED ( 0
95606
0 ) E ;
- boot_addr_i[29] + NET boot_addr_i[29] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
171906
0 ) E ;
+ FIXED ( 0
96334
0 ) E ;
- boot_addr_i[28] + NET boot_addr_i[28] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
17182
20 ) E ;
+ FIXED ( 0
9706
20 ) E ;
- boot_addr_i[27] + NET boot_addr_i[27] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
171738
0 ) E ;
+ FIXED ( 0
97790
0 ) E ;
- boot_addr_i[26] + NET boot_addr_i[26] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
171654
0 ) E ;
+ FIXED ( 0
98546
0 ) E ;
- boot_addr_i[25] + NET boot_addr_i[25] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0
171570
0 ) E ;
+ FIXED ( 0
99274
0 ) E ;
- boot_addr_i[24] + NET boot_addr_i[24] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
71486
0 ) E ;
+ FIXED ( 0 1
00002
0 ) E ;
- boot_addr_i[23] + NET boot_addr_i[23] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
71402
0 ) E ;
+ FIXED ( 0 1
00730
0 ) E ;
- boot_addr_i[22] + NET boot_addr_i[22] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
7131
80 ) E ;
+ FIXED ( 0 1
0145
80 ) E ;
- boot_addr_i[21] + NET boot_addr_i[21] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
71234
0 ) E ;
+ FIXED ( 0 1
02186
0 ) E ;
- boot_addr_i[20] + NET boot_addr_i[20] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
71150
0 ) E ;
+ FIXED ( 0 1
02914
0 ) E ;
- boot_addr_i[19] + NET boot_addr_i[19] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
71066
0 ) E ;
+ FIXED ( 0 1
03642
0 ) E ;
- boot_addr_i[18] + NET boot_addr_i[18] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
70982
0 ) E ;
+ FIXED ( 0 1
04398
0 ) E ;
- boot_addr_i[17] + NET boot_addr_i[17] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
70898
0 ) E ;
+ FIXED ( 0 1
05126
0 ) E ;
- boot_addr_i[16] + NET boot_addr_i[16] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
7081
40 ) E ;
+ FIXED ( 0 1
0585
40 ) E ;
- boot_addr_i[15] + NET boot_addr_i[15] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
70730
0 ) E ;
+ FIXED ( 0 1
06582
0 ) E ;
- boot_addr_i[14] + NET boot_addr_i[14] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
70646
0 ) E ;
+ FIXED ( 0 1
07310
0 ) E ;
- boot_addr_i[13] + NET boot_addr_i[13] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
70562
0 ) E ;
+ FIXED ( 0 1
08038
0 ) E ;
- boot_addr_i[12] + NET boot_addr_i[12] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
70478
0 ) E ;
+ FIXED ( 0 1
08766
0 ) E ;
- boot_addr_i[11] + NET boot_addr_i[11] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
70394
0 ) E ;
+ FIXED ( 0 1
09522
0 ) E ;
- boot_addr_i[10] + NET boot_addr_i[10] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
7031
00 ) E ;
+ FIXED ( 0 1
1025
00 ) E ;
- boot_addr_i[9] + NET boot_addr_i[9] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
70226
0 ) E ;
+ FIXED ( 0 1
10978
0 ) E ;
- boot_addr_i[8] + NET boot_addr_i[8] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
70142
0 ) E ;
+ FIXED ( 0 1
11706
0 ) E ;
- boot_addr_i[7] + NET boot_addr_i[7] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
70058
0 ) E ;
+ FIXED ( 0 1
12434
0 ) E ;
- boot_addr_i[6] + NET boot_addr_i[6] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
69974
0 ) E ;
+ FIXED ( 0 1
13162
0 ) E ;
- boot_addr_i[5] + NET boot_addr_i[5] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
69
8900 ) E ;
+ FIXED ( 0 1
13
8900 ) E ;
- boot_addr_i[4] + NET boot_addr_i[4] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
69806
0 ) E ;
+ FIXED ( 0 1
14618
0 ) E ;
- boot_addr_i[3] + NET boot_addr_i[3] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
69722
0 ) E ;
+ FIXED ( 0 1
15374
0 ) E ;
- boot_addr_i[2] + NET boot_addr_i[2] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
69638
0 ) E ;
+ FIXED ( 0 1
16102
0 ) E ;
- boot_addr_i[1] + NET boot_addr_i[1] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
69554
0 ) E ;
+ FIXED ( 0 1
16830
0 ) E ;
- boot_addr_i[0] + NET boot_addr_i[0] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
69470
0 ) E ;
+ FIXED ( 0 1
17558
0 ) E ;
- hart_id_i[63] + NET hart_id_i[63] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
8030
60 ) E ;
+ FIXED ( 0 1
1828
60 ) E ;
- hart_id_i[62] + NET hart_id_i[62] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
80222
0 ) E ;
+ FIXED ( 0 1
19014
0 ) E ;
- hart_id_i[61] + NET hart_id_i[61] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
80138
0 ) E ;
+ FIXED ( 0 1
19742
0 ) E ;
- hart_id_i[60] + NET hart_id_i[60] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
80054
0 ) E ;
+ FIXED ( 0 1
20470
0 ) E ;
- hart_id_i[59] + NET hart_id_i[59] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
79970
0 ) E ;
+ FIXED ( 0 1
21226
0 ) E ;
- hart_id_i[58] + NET hart_id_i[58] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
79886
0 ) E ;
+ FIXED ( 0 1
21954
0 ) E ;
- hart_id_i[57] + NET hart_id_i[57] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
7980
20 ) E ;
+ FIXED ( 0 1
2268
20 ) E ;
- hart_id_i[56] + NET hart_id_i[56] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
79718
0 ) E ;
+ FIXED ( 0 1
23410
0 ) E ;
- hart_id_i[55] + NET hart_id_i[55] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
79634
0 ) E ;
+ FIXED ( 0 1
24138
0 ) E ;
- hart_id_i[54] + NET hart_id_i[54] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
79550
0 ) E ;
+ FIXED ( 0 1
24866
0 ) E ;
- hart_id_i[53] + NET hart_id_i[53] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
79466
0 ) E ;
+ FIXED ( 0 1
25594
0 ) E ;
- hart_id_i[52] + NET hart_id_i[52] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
79382
0 ) E ;
+ FIXED ( 0 1
26350
0 ) E ;
- hart_id_i[51] + NET hart_id_i[51] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
7929
80 ) E ;
+ FIXED ( 0 1
2707
80 ) E ;
- hart_id_i[50] + NET hart_id_i[50] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
79214
0 ) E ;
+ FIXED ( 0 1
27806
0 ) E ;
- hart_id_i[49] + NET hart_id_i[49] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
79130
0 ) E ;
+ FIXED ( 0 1
28534
0 ) E ;
- hart_id_i[48] + NET hart_id_i[48] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
79046
0 ) E ;
+ FIXED ( 0 1
29262
0 ) E ;
- hart_id_i[47] + NET hart_id_i[47] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
78962
0 ) E ;
+ FIXED ( 0 1
29990
0 ) E ;
- hart_id_i[46] + NET hart_id_i[46] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
7887
80 ) E ;
+ FIXED ( 0 1
3071
80 ) E ;
- hart_id_i[45] + NET hart_id_i[45] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
78794
0 ) E ;
+ FIXED ( 0 1
31446
0 ) E ;
- hart_id_i[44] + NET hart_id_i[44] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
78710
0 ) E ;
+ FIXED ( 0 1
32202
0 ) E ;
- hart_id_i[43] + NET hart_id_i[43] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
78626
0 ) E ;
+ FIXED ( 0 1
32930
0 ) E ;
- hart_id_i[42] + NET hart_id_i[42] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
78542
0 ) E ;
+ FIXED ( 0 1
33658
0 ) E ;
- hart_id_i[41] + NET hart_id_i[41] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
78458
0 ) E ;
+ FIXED ( 0 1
34386
0 ) E ;
- hart_id_i[40] + NET hart_id_i[40] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
7837
40 ) E ;
+ FIXED ( 0 1
3511
40 ) E ;
- hart_id_i[39] + NET hart_id_i[39] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
78290
0 ) E ;
+ FIXED ( 0 1
35842
0 ) E ;
- hart_id_i[38] + NET hart_id_i[38] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
78206
0 ) E ;
+ FIXED ( 0 1
36570
0 ) E ;
- hart_id_i[37] + NET hart_id_i[37] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
78122
0 ) E ;
+ FIXED ( 0 1
37298
0 ) E ;
- hart_id_i[36] + NET hart_id_i[36] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
78038
0 ) E ;
+ FIXED ( 0 1
38054
0 ) E ;
- hart_id_i[35] + NET hart_id_i[35] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
77954
0 ) E ;
+ FIXED ( 0 1
38782
0 ) E ;
- hart_id_i[34] + NET hart_id_i[34] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
7787
00 ) E ;
+ FIXED ( 0 1
3951
00 ) E ;
- hart_id_i[33] + NET hart_id_i[33] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
77786
0 ) E ;
+ FIXED ( 0 1
40238
0 ) E ;
- hart_id_i[32] + NET hart_id_i[32] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
77702
0 ) E ;
+ FIXED ( 0 1
40966
0 ) E ;
- hart_id_i[31] + NET hart_id_i[31] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
77618
0 ) E ;
+ FIXED ( 0 1
41694
0 ) E ;
- hart_id_i[30] + NET hart_id_i[30] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
77534
0 ) E ;
+ FIXED ( 0 1
42422
0 ) E ;
- hart_id_i[29] + NET hart_id_i[29] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
77450
0 ) E ;
+ FIXED ( 0 1
43178
0 ) E ;
- hart_id_i[28] + NET hart_id_i[28] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
7736
60 ) E ;
+ FIXED ( 0 1
4390
60 ) E ;
- hart_id_i[27] + NET hart_id_i[27] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
77282
0 ) E ;
+ FIXED ( 0 1
44634
0 ) E ;
- hart_id_i[26] + NET hart_id_i[26] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
77198
0 ) E ;
+ FIXED ( 0 1
45362
0 ) E ;
- hart_id_i[25] + NET hart_id_i[25] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
77114
0 ) E ;
+ FIXED ( 0 1
46090
0 ) E ;
- hart_id_i[24] + NET hart_id_i[24] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
77030
0 ) E ;
+ FIXED ( 0 1
46818
0 ) E ;
- hart_id_i[23] + NET hart_id_i[23] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
769
460 ) E ;
+ FIXED ( 0 1
475
460 ) E ;
- hart_id_i[22] + NET hart_id_i[22] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
76862
0 ) E ;
+ FIXED ( 0 1
48274
0 ) E ;
- hart_id_i[21] + NET hart_id_i[21] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
76778
0 ) E ;
+ FIXED ( 0 1
49030
0 ) E ;
- hart_id_i[20] + NET hart_id_i[20] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
76694
0 ) E ;
+ FIXED ( 0 1
49758
0 ) E ;
- hart_id_i[19] + NET hart_id_i[19] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
76610
0 ) E ;
+ FIXED ( 0 1
50486
0 ) E ;
- hart_id_i[18] + NET hart_id_i[18] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
76526
0 ) E ;
+ FIXED ( 0 1
51214
0 ) E ;
- hart_id_i[17] + NET hart_id_i[17] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
764
420 ) E ;
+ FIXED ( 0 1
519
420 ) E ;
- hart_id_i[16] + NET hart_id_i[16] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
76358
0 ) E ;
+ FIXED ( 0 1
52670
0 ) E ;
- hart_id_i[15] + NET hart_id_i[15] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
76274
0 ) E ;
+ FIXED ( 0 1
53398
0 ) E ;
- hart_id_i[14] + NET hart_id_i[14] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
76190
0 ) E ;
+ FIXED ( 0 1
54126
0 ) E ;
- hart_id_i[13] + NET hart_id_i[13] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
76106
0 ) E ;
+ FIXED ( 0 1
54882
0 ) E ;
- hart_id_i[12] + NET hart_id_i[12] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
76022
0 ) E ;
+ FIXED ( 0 1
55610
0 ) E ;
- hart_id_i[11] + NET hart_id_i[11] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
759
380 ) E ;
+ FIXED ( 0 1
563
380 ) E ;
- hart_id_i[10] + NET hart_id_i[10] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
75854
0 ) E ;
+ FIXED ( 0 1
57066
0 ) E ;
- hart_id_i[9] + NET hart_id_i[9] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
75770
0 ) E ;
+ FIXED ( 0 1
57794
0 ) E ;
- hart_id_i[8] + NET hart_id_i[8] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
75686
0 ) E ;
+ FIXED ( 0 1
58522
0 ) E ;
- hart_id_i[7] + NET hart_id_i[7] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
75602
0 ) E ;
+ FIXED ( 0 1
59250
0 ) E ;
- hart_id_i[6] + NET hart_id_i[6] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
7551
80 ) E ;
+ FIXED ( 0 1
5997
80 ) E ;
- hart_id_i[5] + NET hart_id_i[5] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
754
340 ) E ;
+ FIXED ( 0 1
607
340 ) E ;
- hart_id_i[4] + NET hart_id_i[4] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
75350
0 ) E ;
+ FIXED ( 0 1
61462
0 ) E ;
- hart_id_i[3] + NET hart_id_i[3] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
75266
0 ) E ;
+ FIXED ( 0 1
62190
0 ) E ;
- hart_id_i[2] + NET hart_id_i[2] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
75182
0 ) E ;
+ FIXED ( 0 1
62918
0 ) E ;
- hart_id_i[1] + NET hart_id_i[1] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
75098
0 ) E ;
+ FIXED ( 0 1
63646
0 ) E ;
- hart_id_i[0] + NET hart_id_i[0] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
7501
40 ) E ;
+ FIXED ( 0 1
6437
40 ) E ;
- irq_i[1] + NET irq_i[1] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
80558
0 ) E ;
+ FIXED ( 0 1
65102
0 ) E ;
- irq_i[0] + NET irq_i[0] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
80474
0 ) E ;
+ FIXED ( 0 1
65858
0 ) E ;
- ipi_i + NET ipi_i + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
80390
0 ) E ;
+ FIXED ( 0 1
66586
0 ) E ;
- time_irq_i + NET time_irq_i + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
80726
0 ) E ;
+ FIXED ( 0 1
67314
0 ) E ;
- debug_req_i + NET debug_req_i + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1
74930
0 ) E ;
+ FIXED ( 0 1
68042
0 ) E ;
- axi_req_o[277] + NET axi_req_o[277] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
8991
00 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
6877
00 ) E ;
- axi_req_o[276] + NET axi_req_o[276] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
89686
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
69498
0 ) E ;
- axi_req_o[275] + NET axi_req_o[275] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
89462
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
70226
0 ) E ;
- axi_req_o[274] + NET axi_req_o[274] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
89182
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
70954
0 ) E ;
- axi_req_o[273] + NET axi_req_o[273] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
88958
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
71710
0 ) E ;
- axi_req_o[272] + NET axi_req_o[272] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
8867
80 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
7243
80 ) E ;
- axi_req_o[271] + NET axi_req_o[271] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
88454
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
73166
0 ) E ;
- axi_req_o[270] + NET axi_req_o[270] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
8817
40 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
7389
40 ) E ;
- axi_req_o[269] + NET axi_req_o[269] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
87950
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
74622
0 ) E ;
- axi_req_o[268] + NET axi_req_o[268] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
8767
00 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
7535
00 ) E ;
- axi_req_o[267] + NET axi_req_o[267] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
87446
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
76078
0 ) E ;
- axi_req_o[266] + NET axi_req_o[266] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
8716
60 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
7680
60 ) E ;
- axi_req_o[265] + NET axi_req_o[265] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
8694
20 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
7756
20 ) E ;
- axi_req_o[264] + NET axi_req_o[264] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
86662
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
78290
0 ) E ;
- axi_req_o[263] + NET axi_req_o[263] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
8643
80 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
7901
80 ) E ;
- axi_req_o[262] + NET axi_req_o[262] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
86158
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
79746
0 ) E ;
- axi_req_o[261] + NET axi_req_o[261] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 18
593
40 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 18
047
40 ) E ;
- axi_req_o[260] + NET axi_req_o[260] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 18
5654
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 18
1202
0 ) E ;
- axi_req_o[259] + NET axi_req_o[259] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 18
54
300 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 18
19
300 ) E ;
- axi_req_o[258] + NET axi_req_o[258] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 18
5150
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 18
2686
0 ) E ;
- axi_req_o[257] + NET axi_req_o[257] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 18
4926
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 18
3414
0 ) E ;
- axi_req_o[256] + NET axi_req_o[256] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 184
646
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 184
142
0 ) E ;
- axi_req_o[255] + NET axi_req_o[255] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 184
422
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 184
870
0 ) E ;
- axi_req_o[254] + NET axi_req_o[254] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 18
4142
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 18
5598
0 ) E ;
- axi_req_o[253] + NET axi_req_o[253] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 18
3918
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 18
6326
0 ) E ;
- axi_req_o[252] + NET axi_req_o[252] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 18
3638
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 18
7054
0 ) E ;
- axi_req_o[251] + NET axi_req_o[251] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 18
3414
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 18
7782
0 ) E ;
- axi_req_o[250] + NET axi_req_o[250] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 18
3134
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 18
8538
0 ) E ;
- axi_req_o[249] + NET axi_req_o[249] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 18
2910
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 18
9266
0 ) E ;
- axi_req_o[248] + NET axi_req_o[248] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 18
2630
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 18
9994
0 ) E ;
- axi_req_o[247] + NET axi_req_o[247] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
82406
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
90722
0 ) E ;
- axi_req_o[246] + NET axi_req_o[246] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
82126
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
91450
0 ) E ;
- axi_req_o[245] + NET axi_req_o[245] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
81902
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
92178
0 ) E ;
- axi_req_o[244] + NET axi_req_o[244] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
81622
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
92906
0 ) E ;
- axi_req_o[243] + NET axi_req_o[243] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
81398
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
93634
0 ) E ;
- axi_req_o[242] + NET axi_req_o[242] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
81118
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
94390
0 ) E ;
- axi_req_o[241] + NET axi_req_o[241] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
80894
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
95118
0 ) E ;
- axi_req_o[240] + NET axi_req_o[240] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
80614
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
95846
0 ) E ;
- axi_req_o[239] + NET axi_req_o[239] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
80390
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
96574
0 ) E ;
- axi_req_o[238] + NET axi_req_o[238] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
80110
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
97302
0 ) E ;
- axi_req_o[237] + NET axi_req_o[237] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
79886
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
98030
0 ) E ;
- axi_req_o[236] + NET axi_req_o[236] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
79606
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
98758
0 ) E ;
- axi_req_o[235] + NET axi_req_o[235] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
79382
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
99514
0 ) E ;
- axi_req_o[234] + NET axi_req_o[234] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
17910
20 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
20024
20 ) E ;
- axi_req_o[233] + NET axi_req_o[233] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
178878
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
200970
0 ) E ;
- axi_req_o[232] + NET axi_req_o[232] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
1785
980 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
2016
980 ) E ;
- axi_req_o[231] + NET axi_req_o[231] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
178374
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
202426
0 ) E ;
- axi_req_o[230] + NET axi_req_o[230] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
17809
40 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
20315
40 ) E ;
- axi_req_o[229] + NET axi_req_o[229] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
177870
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
203882
0 ) E ;
- axi_req_o[228] + NET axi_req_o[228] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
17759
00 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
20461
00 ) E ;
- axi_req_o[227] + NET axi_req_o[227] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
177
3660 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
205
3660 ) E ;
- axi_req_o[226] + NET axi_req_o[226] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
177086
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
206094
0 ) E ;
- axi_req_o[225] + NET axi_req_o[225] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
17686
20 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
20682
20 ) E ;
- axi_req_o[224] + NET axi_req_o[224] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
176582
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
207550
0 ) E ;
- axi_req_o[223] + NET axi_req_o[223] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
17635
80 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
20827
80 ) E ;
- axi_req_o[222] + NET axi_req_o[222] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
176078
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
209006
0 ) E ;
- axi_req_o[221] + NET axi_req_o[221] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
17585
40 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
20973
40 ) E ;
- axi_req_o[220] + NET axi_req_o[220] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
175574
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
210462
0 ) E ;
- axi_req_o[219] + NET axi_req_o[219] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
175350
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
211218
0 ) E ;
- axi_req_o[218] + NET axi_req_o[218] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
175070
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
211946
0 ) E ;
- axi_req_o[217] + NET axi_req_o[217] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
174846
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
212674
0 ) E ;
- axi_req_o[216] + NET axi_req_o[216] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
174566
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
213402
0 ) E ;
- axi_req_o[215] + NET axi_req_o[215] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
174342
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
214130
0 ) E ;
- axi_req_o[214] + NET axi_req_o[214] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
174062
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
214858
0 ) E ;
- axi_req_o[213] + NET axi_req_o[213] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
173838
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
215586
0 ) E ;
- axi_req_o[212] + NET axi_req_o[212] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
173558
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
216342
0 ) E ;
- axi_req_o[211] + NET axi_req_o[211] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
173334
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
217070
0 ) E ;
- axi_req_o[210] + NET axi_req_o[210] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
173054
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
217798
0 ) E ;
- axi_req_o[209] + NET axi_req_o[209] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
172830
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
218526
0 ) E ;
- axi_req_o[208] + NET axi_req_o[208] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
172550
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
219254
0 ) E ;
- axi_req_o[207] + NET axi_req_o[207] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
172326
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
219982
0 ) E ;
- axi_req_o[206] + NET axi_req_o[206] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
172046
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
220710
0 ) E ;
- axi_req_o[205] + NET axi_req_o[205] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
171822
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
221438
0 ) E ;
- axi_req_o[204] + NET axi_req_o[204] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
171542
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
222194
0 ) E ;
- axi_req_o[203] + NET axi_req_o[203] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
171318
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
222922
0 ) E ;
- axi_req_o[202] + NET axi_req_o[202] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
171038
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
223650
0 ) E ;
- axi_req_o[201] + NET axi_req_o[201] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
170814
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
224378
0 ) E ;
- axi_req_o[200] + NET axi_req_o[200] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
170534
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
225106
0 ) E ;
- axi_req_o[199] + NET axi_req_o[199] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
170310
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
225834
0 ) E ;
- axi_req_o[198] + NET axi_req_o[198] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
170030
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
226562
0 ) E ;
- axi_req_o[197] + NET axi_req_o[197] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
169806
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
227290
0 ) E ;
- axi_req_o[196] + NET axi_req_o[196] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
16952
60 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
22804
60 ) E ;
- axi_req_o[195] + NET axi_req_o[195] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
169302
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
228774
0 ) E ;
- axi_req_o[194] + NET axi_req_o[194] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
16902
20 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
22950
20 ) E ;
- axi_req_o[193] + NET axi_req_o[193] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
168798
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
230230
0 ) E ;
- axi_req_o[192] + NET axi_req_o[192] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
16851
80 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
23095
80 ) E ;
- axi_req_o[191] + NET axi_req_o[191] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
168294
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
231686
0 ) E ;
- axi_req_o[190] + NET axi_req_o[190] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
1680
140 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
2324
140 ) E ;
- axi_req_o[189] + NET axi_req_o[189] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
167790
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
233142
0 ) E ;
- axi_req_o[188] + NET axi_req_o[188] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
167510
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
233898
0 ) E ;
- axi_req_o[187] + NET axi_req_o[187] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
16728
60 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
23462
60 ) E ;
- axi_req_o[186] + NET axi_req_o[186] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
167006
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
235354
0 ) E ;
- axi_req_o[185] + NET axi_req_o[185] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
1667
820 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
2360
820 ) E ;
- axi_req_o[184] + NET axi_req_o[184] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
166502
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
236810
0 ) E ;
- axi_req_o[183] + NET axi_req_o[183] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
16627
80 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
23753
80 ) E ;
- axi_req_o[182] + NET axi_req_o[182] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
165998
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
238266
0 ) E ;
- axi_req_o[181] + NET axi_req_o[181] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
165774
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
239022
0 ) E ;
- axi_req_o[180] + NET axi_req_o[180] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
165494
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
239750
0 ) E ;
- axi_req_o[179] + NET axi_req_o[179] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
165270
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
240478
0 ) E ;
- axi_req_o[178] + NET axi_req_o[178] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
164990
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
241206
0 ) E ;
- axi_req_o[177] + NET axi_req_o[177] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
164766
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
241934
0 ) E ;
- axi_req_o[176] + NET axi_req_o[176] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
164486
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
242662
0 ) E ;
- axi_req_o[175] + NET axi_req_o[175] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
164262
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
243390
0 ) E ;
- axi_req_o[174] + NET axi_req_o[174] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
163982
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
244118
0 ) E ;
- axi_req_o[173] + NET axi_req_o[173] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
163758
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
244846
0 ) E ;
- axi_req_o[172] + NET axi_req_o[172] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
163478
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
245602
0 ) E ;
- axi_req_o[171] + NET axi_req_o[171] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
163254
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
246330
0 ) E ;
- axi_req_o[170] + NET axi_req_o[170] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
162974
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
247058
0 ) E ;
- axi_req_o[169] + NET axi_req_o[169] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
162750
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
247786
0 ) E ;
- axi_req_o[168] + NET axi_req_o[168] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
162470
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
248514
0 ) E ;
- axi_req_o[167] + NET axi_req_o[167] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
162246
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
249242
0 ) E ;
- axi_req_o[166] + NET axi_req_o[166] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
161966
0 ) E ;
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
249970
0 ) E ;
- axi_req_o[165] + NET axi_req_o[165] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5
( -140 0 ) ( 140 280 )
+ FIXED ( 0
161742
0 ) E ;
+ LAYER metal
4
( -140 0 ) ( 140 280 )
+ FIXED ( 0
70014
0 ) E ;
- axi_req_o[164] + NET axi_req_o[164] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5
( -140 0 ) ( 140 280 )
+ FIXED ( 0
16146
20 ) E ;
+ LAYER metal
4
( -140 0 ) ( 140 280 )
+ FIXED ( 0
7074
20 ) E ;
- axi_req_o[163] + NET axi_req_o[163] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5
( -140 0 ) ( 140 280 )
+ FIXED ( 0
161238
0 ) E ;
+ LAYER metal
4
( -140 0 ) ( 140 280 )
+ FIXED ( 0
71470
0 ) E ;
- axi_req_o[162] + NET axi_req_o[162] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5
( -140 0 ) ( 140 280 )
+ FIXED ( 0
16095
80 ) E ;
+ LAYER metal
4
( -140 0 ) ( 140 280 )
+ FIXED ( 0
7219
80 ) E ;
- axi_req_o[161] + NET axi_req_o[161] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5
( -140 0 ) ( 140 280 )
+ FIXED ( 0
160734
0 ) E ;
+ LAYER metal
4
( -140 0 ) ( 140 280 )
+ FIXED ( 0
72926
0 ) E ;
- axi_req_o[160] + NET axi_req_o[160] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5
( -140 0 ) ( 140 280 )
+ FIXED ( 0
1604
540 ) E ;
+ LAYER metal
4
( -140 0 ) ( 140 280 )
+ FIXED ( 0
736
540 ) E ;
- axi_req_o[159] + NET axi_req_o[159] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5
( -140 0 ) ( 140 280 )
+ FIXED ( 0
160230
0 ) E ;
+ LAYER metal
4
( -140 0 ) ( 140 280 )
+ FIXED ( 0
74382
0 ) E ;
- axi_req_o[158] + NET axi_req_o[158] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
5
( -140 0 ) ( 140 280 )
+ FIXED ( 0
160006
0 ) E ;
+ LAYER metal
4
( -140 0 ) ( 140 280 )
+ FIXED ( 0
75110
0 ) E ;
- axi_req_o[157] + NET axi_req_o[157] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
186186
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
75838
0 ) E ;
- axi_req_o[156] + NET axi_req_o[156] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
186102
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
76566
0 ) E ;
- axi_req_o[155] + NET axi_req_o[155] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
185990
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
77294
0 ) E ;
- axi_req_o[154] + NET axi_req_o[154] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
185878
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
78022
0 ) E ;
- axi_req_o[153] + NET axi_req_o[153] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
185766
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
78750
0 ) E ;
- axi_req_o[152] + NET axi_req_o[152] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
185654
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
79478
0 ) E ;
- axi_req_o[151] + NET axi_req_o[151] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
185542
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
80206
0 ) E ;
- axi_req_o[150] + NET axi_req_o[150] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
185430
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
80934
0 ) E ;
- axi_req_o[149] + NET axi_req_o[149] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
185318
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
81662
0 ) E ;
- axi_req_o[148] + NET axi_req_o[148] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
185206
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
82390
0 ) E ;
- axi_req_o[147] + NET axi_req_o[147] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
185094
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
83118
0 ) E ;
- axi_req_o[146] + NET axi_req_o[146] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
184982
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
83846
0 ) E ;
- axi_req_o[145] + NET axi_req_o[145] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
184898
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
84574
0 ) E ;
- axi_req_o[144] + NET axi_req_o[144] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
184786
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
85302
0 ) E ;
- axi_req_o[143] + NET axi_req_o[143] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
184674
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
86030
0 ) E ;
- axi_req_o[142] + NET axi_req_o[142] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
184562
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
86758
0 ) E ;
- axi_req_o[141] + NET axi_req_o[141] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
184450
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
87486
0 ) E ;
- axi_req_o[140] + NET axi_req_o[140] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
184338
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
88214
0 ) E ;
- axi_req_o[139] + NET axi_req_o[139] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
184226
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
88942
0 ) E ;
- axi_req_o[138] + NET axi_req_o[138] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
184114
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
89670
0 ) E ;
- axi_req_o[137] + NET axi_req_o[137] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
184002
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
90398
0 ) E ;
- axi_req_o[136] + NET axi_req_o[136] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
183890
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
91126
0 ) E ;
- axi_req_o[135] + NET axi_req_o[135] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
183778
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
91854
0 ) E ;
- axi_req_o[134] + NET axi_req_o[134] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
183666
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
92582
0 ) E ;
- axi_req_o[133] + NET axi_req_o[133] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
183582
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
93310
0 ) E ;
- axi_req_o[132] + NET axi_req_o[132] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
183470
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
94038
0 ) E ;
- axi_req_o[131] + NET axi_req_o[131] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
183358
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
94766
0 ) E ;
- axi_req_o[130] + NET axi_req_o[130] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
183246
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
95494
0 ) E ;
- axi_req_o[129] + NET axi_req_o[129] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
183134
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
96222
0 ) E ;
- axi_req_o[128] + NET axi_req_o[128] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
183022
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
96950
0 ) E ;
- axi_req_o[127] + NET axi_req_o[127] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
182910
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
97678
0 ) E ;
- axi_req_o[126] + NET axi_req_o[126] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
182798
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
98406
0 ) E ;
- axi_req_o[125] + NET axi_req_o[125] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
182686
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
99134
0 ) E ;
- axi_req_o[124] + NET axi_req_o[124] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
182574
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
99862
0 ) E ;
- axi_req_o[123] + NET axi_req_o[123] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
82462
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
00618
0 ) E ;
- axi_req_o[122] + NET axi_req_o[122] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
82350
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
01346
0 ) E ;
- axi_req_o[121] + NET axi_req_o[121] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
82266
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
02074
0 ) E ;
- axi_req_o[120] + NET axi_req_o[120] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
82154
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
02802
0 ) E ;
- axi_req_o[119] + NET axi_req_o[119] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
82042
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
03530
0 ) E ;
- axi_req_o[118] + NET axi_req_o[118] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
81930
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
04258
0 ) E ;
- axi_req_o[117] + NET axi_req_o[117] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
81818
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
04986
0 ) E ;
- axi_req_o[116] + NET axi_req_o[116] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
81706
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
05714
0 ) E ;
- axi_req_o[115] + NET axi_req_o[115] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
81594
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
06442
0 ) E ;
- axi_req_o[114] + NET axi_req_o[114] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
81482
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
07170
0 ) E ;
- axi_req_o[113] + NET axi_req_o[113] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
81370
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
07898
0 ) E ;
- axi_req_o[112] + NET axi_req_o[112] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
81258
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
08626
0 ) E ;
- axi_req_o[111] + NET axi_req_o[111] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
81146
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
09354
0 ) E ;
- axi_req_o[110] + NET axi_req_o[110] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
81034
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
10082
0 ) E ;
- axi_req_o[109] + NET axi_req_o[109] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
8095
00 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
1081
00 ) E ;
- axi_req_o[108] + NET axi_req_o[108] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
808
380 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
115
380 ) E ;
- axi_req_o[107] + NET axi_req_o[107] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
80698
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
12266
0 ) E ;
- axi_req_o[106] + NET axi_req_o[106] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
8061
40 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
1299
40 ) E ;
- axi_req_o[105] + NET axi_req_o[105] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
8050
20 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
1372
20 ) E ;
- axi_req_o[104] + NET axi_req_o[104] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
80362
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
14450
0 ) E ;
- axi_req_o[103] + NET axi_req_o[103] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
802
780 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
151
780 ) E ;
- axi_req_o[102] + NET axi_req_o[102] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
8016
60 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
1590
60 ) E ;
- axi_req_o[101] + NET axi_req_o[101] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
80026
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
16634
0 ) E ;
- axi_req_o[100] + NET axi_req_o[100] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
7994
20 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
1736
20 ) E ;
- axi_req_o[99] + NET axi_req_o[99] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
7983
00 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
1809
00 ) E ;
- axi_req_o[98] + NET axi_req_o[98] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
79690
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
18818
0 ) E ;
- axi_req_o[97] + NET axi_req_o[97] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
7960
60 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
1954
60 ) E ;
- axi_req_o[96] + NET axi_req_o[96] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
79522
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
20274
0 ) E ;
- axi_req_o[95] + NET axi_req_o[95] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
79410
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
21002
0 ) E ;
- axi_req_o[94] + NET axi_req_o[94] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
7927
00 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
2173
00 ) E ;
- axi_req_o[93] + NET axi_req_o[93] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
79186
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
22458
0 ) E ;
- axi_req_o[92] + NET axi_req_o[92] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
79074
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
23186
0 ) E ;
- axi_req_o[91] + NET axi_req_o[91] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
7893
40 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
2391
40 ) E ;
- axi_req_o[90] + NET axi_req_o[90] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
78850
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
24642
0 ) E ;
- axi_req_o[89] + NET axi_req_o[89] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
78738
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
25370
0 ) E ;
- axi_req_o[88] + NET axi_req_o[88] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
785
980 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
260
980 ) E ;
- axi_req_o[87] + NET axi_req_o[87] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
78514
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
26826
0 ) E ;
- axi_req_o[86] + NET axi_req_o[86] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
78402
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
27554
0 ) E ;
- axi_req_o[85] + NET axi_req_o[85] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
78318
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
28282
0 ) E ;
- axi_req_o[84] + NET axi_req_o[84] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
78178
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
29010
0 ) E ;
- axi_req_o[83] + NET axi_req_o[83] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
78094
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
29738
0 ) E ;
- axi_req_o[82] + NET axi_req_o[82] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
77982
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
30494
0 ) E ;
- axi_req_o[81] + NET axi_req_o[81] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
7784
20 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
3122
20 ) E ;
- axi_req_o[80] + NET axi_req_o[80] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
77758
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
31950
0 ) E ;
- axi_req_o[79] + NET axi_req_o[79] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
77646
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
32678
0 ) E ;
- axi_req_o[78] + NET axi_req_o[78] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
775
060 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
334
060 ) E ;
- axi_req_o[77] + NET axi_req_o[77] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
77422
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
34134
0 ) E ;
- axi_req_o[76] + NET axi_req_o[76] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
77310
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
34862
0 ) E ;
- axi_req_o[75] + NET axi_req_o[75] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
7717
00 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
3559
00 ) E ;
- axi_req_o[74] + NET axi_req_o[74] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
77086
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
36318
0 ) E ;
- axi_req_o[73] + NET axi_req_o[73] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
77002
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
37046
0 ) E ;
- axi_req_o[72] + NET axi_req_o[72] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
76890
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
37774
0 ) E ;
- axi_req_o[71] + NET axi_req_o[71] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
76750
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
38502
0 ) E ;
- axi_req_o[70] + NET axi_req_o[70] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
76666
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
39230
0 ) E ;
- axi_req_o[69] + NET axi_req_o[69] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
76554
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
39958
0 ) E ;
- axi_req_o[68] + NET axi_req_o[68] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
76414
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
40686
0 ) E ;
- axi_req_o[67] + NET axi_req_o[67] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
76330
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
41414
0 ) E ;
- axi_req_o[66] + NET axi_req_o[66] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
76218
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
42142
0 ) E ;
- axi_req_o[65] + NET axi_req_o[65] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
76078
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
42870
0 ) E ;
- axi_req_o[64] + NET axi_req_o[64] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
75994
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
43598
0 ) E ;
- axi_req_o[63] + NET axi_req_o[63] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
75882
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
44326
0 ) E ;
- axi_req_o[62] + NET axi_req_o[62] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
75742
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
45054
0 ) E ;
- axi_req_o[61] + NET axi_req_o[61] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
75658
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
45782
0 ) E ;
- axi_req_o[60] + NET axi_req_o[60] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
75574
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
46510
0 ) E ;
- axi_req_o[59] + NET axi_req_o[59] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
75462
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
47238
0 ) E ;
- axi_req_o[58] + NET axi_req_o[58] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
75322
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
47966
0 ) E ;
- axi_req_o[57] + NET axi_req_o[57] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
75238
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
48694
0 ) E ;
- axi_req_o[56] + NET axi_req_o[56] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
75126
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
49422
0 ) E ;
- axi_req_o[55] + NET axi_req_o[55] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
74986
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
50150
0 ) E ;
- axi_req_o[54] + NET axi_req_o[54] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
74902
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
50878
0 ) E ;
- axi_req_o[53] + NET axi_req_o[53] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
74790
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
51606
0 ) E ;
- axi_req_o[52] + NET axi_req_o[52] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
74650
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
52334
0 ) E ;
- axi_req_o[51] + NET axi_req_o[51] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
74566
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
53062
0 ) E ;
- axi_req_o[50] + NET axi_req_o[50] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
74454
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
53790
0 ) E ;
- axi_req_o[49] + NET axi_req_o[49] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
74370
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
54518
0 ) E ;
- axi_req_o[48] + NET axi_req_o[48] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
74230
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
55246
0 ) E ;
- axi_req_o[47] + NET axi_req_o[47] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
74146
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
55974
0 ) E ;
- axi_req_o[46] + NET axi_req_o[46] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
74034
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
56702
0 ) E ;
- axi_req_o[45] + NET axi_req_o[45] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
73894
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
57430
0 ) E ;
- axi_req_o[44] + NET axi_req_o[44] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
73810
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
58158
0 ) E ;
- axi_req_o[43] + NET axi_req_o[43] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
73698
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
58886
0 ) E ;
- axi_req_o[42] + NET axi_req_o[42] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
73558
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
59614
0 ) E ;
- axi_req_o[41] + NET axi_req_o[41] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
73474
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
60370
0 ) E ;
- axi_req_o[40] + NET axi_req_o[40] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
73362
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
61098
0 ) E ;
- axi_req_o[39] + NET axi_req_o[39] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
73222
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
61826
0 ) E ;
- axi_req_o[38] + NET axi_req_o[38] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
73138
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
62554
0 ) E ;
- axi_req_o[37] + NET axi_req_o[37] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
73054
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
63282
0 ) E ;
- axi_req_o[36] + NET axi_req_o[36] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
72942
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
64010
0 ) E ;
- axi_req_o[35] + NET axi_req_o[35] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
72802
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
64738
0 ) E ;
- axi_req_o[34] + NET axi_req_o[34] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
72718
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
65466
0 ) E ;
- axi_req_o[33] + NET axi_req_o[33] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
72606
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
66194
0 ) E ;
- axi_req_o[32] + NET axi_req_o[32] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
72466
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
66922
0 ) E ;
- axi_req_o[31] + NET axi_req_o[31] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
72382
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
67650
0 ) E ;
- axi_req_o[30] + NET axi_req_o[30] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
72270
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
68378
0 ) E ;
- axi_req_o[29] + NET axi_req_o[29] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
72130
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
69106
0 ) E ;
- axi_req_o[28] + NET axi_req_o[28] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
72046
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
69834
0 ) E ;
- axi_req_o[27] + NET axi_req_o[27] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 17
1934
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 17
0562
0 ) E ;
- axi_req_o[26] + NET axi_req_o[26] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 171
794
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 171
290
0 ) E ;
- axi_req_o[25] + NET axi_req_o[25] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 17
1710
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 17
2018
0 ) E ;
- axi_req_o[24] + NET axi_req_o[24] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 17
162
60 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 17
274
60 ) E ;
- axi_req_o[23] + NET axi_req_o[23] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 17
1514
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 17
3502
0 ) E ;
- axi_req_o[22] + NET axi_req_o[22] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 17
1374
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 17
4202
0 ) E ;
- axi_req_o[21] + NET axi_req_o[21] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 17
129
00 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 17
493
00 ) E ;
- axi_req_o[20] + NET axi_req_o[20] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 17
117
80 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 17
565
80 ) E ;
- axi_req_o[19] + NET axi_req_o[19] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 17
1038
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 17
6386
0 ) E ;
- axi_req_o[18] + NET axi_req_o[18] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 17
095
40 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 17
711
40 ) E ;
- axi_req_o[17] + NET axi_req_o[17] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 17
0
8420 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 17
7
8420 ) E ;
- axi_req_o[16] + NET axi_req_o[16] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 17
0702
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 17
8570
0 ) E ;
- axi_req_o[15] + NET axi_req_o[15] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 17
061
80 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 17
929
80 ) E ;
- axi_req_o[14] + NET axi_req_o[14] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
7050
60 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
8002
60 ) E ;
- axi_req_o[13] + NET axi_req_o[13] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
70422
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
80754
0 ) E ;
- axi_req_o[12] + NET axi_req_o[12] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
702
820 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
814
820 ) E ;
- axi_req_o[11] + NET axi_req_o[11] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
70198
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
82210
0 ) E ;
- axi_req_o[10] + NET axi_req_o[10] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
70086
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
82938
0 ) E ;
- axi_req_o[9] + NET axi_req_o[9] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
6994
60 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
8366
60 ) E ;
- axi_req_o[8] + NET axi_req_o[8] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
69862
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
84394
0 ) E ;
- axi_req_o[7] + NET axi_req_o[7] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
697
500 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
851
500 ) E ;
- axi_req_o[6] + NET axi_req_o[6] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
69610
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
85822
0 ) E ;
- axi_req_o[5] + NET axi_req_o[5] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
69526
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
86578
0 ) E ;
- axi_req_o[4] + NET axi_req_o[4] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
69414
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
87306
0 ) E ;
- axi_req_o[3] + NET axi_req_o[3] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
69302
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
88034
0 ) E ;
- axi_req_o[2] + NET axi_req_o[2] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
69190
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
88762
0 ) E ;
- axi_req_o[1] + NET axi_req_o[1] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
69106
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
89490
0 ) E ;
- axi_req_o[0] + NET axi_req_o[0] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
68994
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
90246
0 ) E ;
- axi_resp_i[81] + NET axi_resp_i[81] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
68882
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
90974
0 ) E ;
- axi_resp_i[80] + NET axi_resp_i[80] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
68770
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
91702
0 ) E ;
- axi_resp_i[79] + NET axi_resp_i[79] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
68658
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
92430
0 ) E ;
- axi_resp_i[78] + NET axi_resp_i[78] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
68546
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
93158
0 ) E ;
- axi_resp_i[77] + NET axi_resp_i[77] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
68434
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
93886
0 ) E ;
- axi_resp_i[76] + NET axi_resp_i[76] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
68322
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
94614
0 ) E ;
- axi_resp_i[75] + NET axi_resp_i[75] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
68210
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
95342
0 ) E ;
- axi_resp_i[74] + NET axi_resp_i[74] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
68098
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
96070
0 ) E ;
- axi_resp_i[73] + NET axi_resp_i[73] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
67986
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
96798
0 ) E ;
- axi_resp_i[72] + NET axi_resp_i[72] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
67874
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
97526
0 ) E ;
- axi_resp_i[71] + NET axi_resp_i[71] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
67790
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
98254
0 ) E ;
- axi_resp_i[70] + NET axi_resp_i[70] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
67678
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
98982
0 ) E ;
- axi_resp_i[69] + NET axi_resp_i[69] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0 1
67566
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0 1
99710
0 ) E ;
- axi_resp_i[68] + NET axi_resp_i[68] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
167454
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
200438
0 ) E ;
- axi_resp_i[67] + NET axi_resp_i[67] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
167342
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
201166
0 ) E ;
- axi_resp_i[66] + NET axi_resp_i[66] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
167230
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
201894
0 ) E ;
- axi_resp_i[65] + NET axi_resp_i[65] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
167118
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
202622
0 ) E ;
- axi_resp_i[64] + NET axi_resp_i[64] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
167006
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
203350
0 ) E ;
- axi_resp_i[63] + NET axi_resp_i[63] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
166894
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
204078
0 ) E ;
- axi_resp_i[62] + NET axi_resp_i[62] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
166782
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
204806
0 ) E ;
- axi_resp_i[61] + NET axi_resp_i[61] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
166670
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
205534
0 ) E ;
- axi_resp_i[60] + NET axi_resp_i[60] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
166558
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
206262
0 ) E ;
- axi_resp_i[59] + NET axi_resp_i[59] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
166474
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
206990
0 ) E ;
- axi_resp_i[58] + NET axi_resp_i[58] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
166362
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
207718
0 ) E ;
- axi_resp_i[57] + NET axi_resp_i[57] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
166250
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
208446
0 ) E ;
- axi_resp_i[56] + NET axi_resp_i[56] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
166138
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
209174
0 ) E ;
- axi_resp_i[55] + NET axi_resp_i[55] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
166026
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
209902
0 ) E ;
- axi_resp_i[54] + NET axi_resp_i[54] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
165914
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
210630
0 ) E ;
- axi_resp_i[53] + NET axi_resp_i[53] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
165802
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
211358
0 ) E ;
- axi_resp_i[52] + NET axi_resp_i[52] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
165690
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
212086
0 ) E ;
- axi_resp_i[51] + NET axi_resp_i[51] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
165578
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
212814
0 ) E ;
- axi_resp_i[50] + NET axi_resp_i[50] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
165466
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
213542
0 ) E ;
- axi_resp_i[49] + NET axi_resp_i[49] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
165354
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
214270
0 ) E ;
- axi_resp_i[48] + NET axi_resp_i[48] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
165242
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
214998
0 ) E ;
- axi_resp_i[47] + NET axi_resp_i[47] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
165158
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
215726
0 ) E ;
- axi_resp_i[46] + NET axi_resp_i[46] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
165046
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
216454
0 ) E ;
- axi_resp_i[45] + NET axi_resp_i[45] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
164934
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
217182
0 ) E ;
- axi_resp_i[44] + NET axi_resp_i[44] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
164822
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
217910
0 ) E ;
- axi_resp_i[43] + NET axi_resp_i[43] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
164710
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
218638
0 ) E ;
- axi_resp_i[42] + NET axi_resp_i[42] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
164598
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
219366
0 ) E ;
- axi_resp_i[41] + NET axi_resp_i[41] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
164486
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
220122
0 ) E ;
- axi_resp_i[40] + NET axi_resp_i[40] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
164374
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
220850
0 ) E ;
- axi_resp_i[39] + NET axi_resp_i[39] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
164262
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
221578
0 ) E ;
- axi_resp_i[38] + NET axi_resp_i[38] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
164150
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
222306
0 ) E ;
- axi_resp_i[37] + NET axi_resp_i[37] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
164038
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
223034
0 ) E ;
- axi_resp_i[36] + NET axi_resp_i[36] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
163926
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
223762
0 ) E ;
- axi_resp_i[35] + NET axi_resp_i[35] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
163842
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
224490
0 ) E ;
- axi_resp_i[34] + NET axi_resp_i[34] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
163730
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
225218
0 ) E ;
- axi_resp_i[33] + NET axi_resp_i[33] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
163618
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
225946
0 ) E ;
- axi_resp_i[32] + NET axi_resp_i[32] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
163506
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
226674
0 ) E ;
- axi_resp_i[31] + NET axi_resp_i[31] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
163394
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
227402
0 ) E ;
- axi_resp_i[30] + NET axi_resp_i[30] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
163282
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
228130
0 ) E ;
- axi_resp_i[29] + NET axi_resp_i[29] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
163170
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
228858
0 ) E ;
- axi_resp_i[28] + NET axi_resp_i[28] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
163058
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
229586
0 ) E ;
- axi_resp_i[27] + NET axi_resp_i[27] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
162946
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
230314
0 ) E ;
- axi_resp_i[26] + NET axi_resp_i[26] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
162834
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
231042
0 ) E ;
- axi_resp_i[25] + NET axi_resp_i[25] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
162722
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
231770
0 ) E ;
- axi_resp_i[24] + NET axi_resp_i[24] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
162610
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
232498
0 ) E ;
- axi_resp_i[23] + NET axi_resp_i[23] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
1625
260 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
2332
260 ) E ;
- axi_resp_i[22] + NET axi_resp_i[22] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
16241
40 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
23395
40 ) E ;
- axi_resp_i[21] + NET axi_resp_i[21] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
16230
20 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
23468
20 ) E ;
- axi_resp_i[20] + NET axi_resp_i[20] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
16219
00 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
23541
00 ) E ;
- axi_resp_i[19] + NET axi_resp_i[19] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
16207
80 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
23613
80 ) E ;
- axi_resp_i[18] + NET axi_resp_i[18] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
1619
660 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
2368
660 ) E ;
- axi_resp_i[17] + NET axi_resp_i[17] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
16185
40 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
23759
40 ) E ;
- axi_resp_i[16] + NET axi_resp_i[16] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
16174
20 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
23832
20 ) E ;
- axi_resp_i[15] + NET axi_resp_i[15] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
16163
00 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
23905
00 ) E ;
- axi_resp_i[14] + NET axi_resp_i[14] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
16151
80 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
23977
80 ) E ;
- axi_resp_i[13] + NET axi_resp_i[13] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
1614
060 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
2405
060 ) E ;
- axi_resp_i[12] + NET axi_resp_i[12] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
16129
40 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
24123
40 ) E ;
- axi_resp_i[11] + NET axi_resp_i[11] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
161210
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
241962
0 ) E ;
- axi_resp_i[10] + NET axi_resp_i[10] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
161098
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
242690
0 ) E ;
- axi_resp_i[9] + NET axi_resp_i[9] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
160986
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
243418
0 ) E ;
- axi_resp_i[8] + NET axi_resp_i[8] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
160874
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
244146
0 ) E ;
- axi_resp_i[7] + NET axi_resp_i[7] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
160762
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
244874
0 ) E ;
- axi_resp_i[6] + NET axi_resp_i[6] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
160650
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
245602
0 ) E ;
- axi_resp_i[5] + NET axi_resp_i[5] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
160538
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
246330
0 ) E ;
- axi_resp_i[4] + NET axi_resp_i[4] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
160426
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
247058
0 ) E ;
- axi_resp_i[3] + NET axi_resp_i[3] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
160314
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
247786
0 ) E ;
- axi_resp_i[2] + NET axi_resp_i[2] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
160202
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
248514
0 ) E ;
- axi_resp_i[1] + NET axi_resp_i[1] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
160090
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
249242
0 ) E ;
- axi_resp_i[0] + NET axi_resp_i[0] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal
3 ( -70 0 ) ( 70 14
0 )
+ FIXED ( 0
160006
0 ) E ;
+ LAYER metal
4 ( -140 0 ) ( 140 28
0 )
+ FIXED ( 0
249970
0 ) E ;
END PINS
SPECIALNETS 2 ;
- VDD ( * VDD )
+ USE POWER
;
- VSS ( * VSS )
+ USE GROUND
;
END SPECIALNETS
END DESIGN
Flows/NanGate45/ariane133/scripts/cadence/run_invs.tcl
View file @
c148f72d
...
...
@@ -75,6 +75,11 @@ setDesignMode -bottomRoutingLayer 2
place_opt_design -out_dir
$rpt
Dir -prefix place
saveDesign
$enc
Dir/$
{
DESIGN
}
_placed.enc
echo
"stage,core_area,standard_cell_area,macro_area,total_power,wire_length,wns,tns,h_c,v_c"
>
${DESIGN}
_DETAILS.rpt
source
../../../../util/extract_report.tcl
set
rpt_pre_cts
[
extract_report preCTS
]
echo
"
$rpt
_pre_cts"
>>
${DESIGN}
_DETAILS.rpt
set_ccopt_property post_conditioning_enable_routing_eco 1
set_ccopt_property -cts_def_lock_clock_sinks_after_routing true
setOptMode -unfixClkInstForOpt false
...
...
@@ -86,6 +91,10 @@ set_interactive_constraint_modes [all_constraint_modes -active]
set_propagated_clock
[
all_clocks
]
set_clock_propagation propagated
saveDesign
$enc
Dir/$
{
DESIGN
}
_cts.enc
set
rpt_post_cts
[
extract_report postCTS
]
echo
"
$rpt
_post_cts"
>>
${DESIGN}
_DETAILS.rpt
# ------------------------------------------------------------------------------
# Routing
# ------------------------------------------------------------------------------
...
...
@@ -111,6 +120,8 @@ setNanoRouteMode -grouteExpWithTimingDriven false
routeDesign
#route_opt_design
saveDesign
${encDir}
/$
{
DESIGN
}
_route.enc
set
rpt_post_route
[
extract_report postRoute
]
echo
"
$rpt
_post_route"
>>
${DESIGN}
_DETAILS.rpt
defOut -netlist -floorplan -routing
${DESIGN}
_route.def
summaryReport -noHtml -outfile summaryReport/post_route.sum
...
...
Flows/NanGate45/ariane136/scripts/cadence/run_invs.tcl
View file @
c148f72d
...
...
@@ -75,6 +75,11 @@ setDesignMode -bottomRoutingLayer 2
place_opt_design -out_dir
$rpt
Dir -prefix place
saveDesign
$enc
Dir/$
{
DESIGN
}
_placed.enc
echo
"stage,core_area,standard_cell_area,macro_area,total_power,wire_length,wns,tns,h_c,v_c"
>
${DESIGN}
_DETAILS.rpt
source
../../../../util/extract_report.tcl
set
rpt_pre_cts
[
extract_report preCTS
]
echo
"
$rpt
_pre_cts"
>>
${DESIGN}
_DETAILS.rpt
set_ccopt_property post_conditioning_enable_routing_eco 1
set_ccopt_property -cts_def_lock_clock_sinks_after_routing true
setOptMode -unfixClkInstForOpt false
...
...
@@ -86,6 +91,10 @@ set_interactive_constraint_modes [all_constraint_modes -active]
set_propagated_clock
[
all_clocks
]
set_clock_propagation propagated
saveDesign
$enc
Dir/$
{
DESIGN
}
_cts.enc
set
rpt_post_cts
[
extract_report postCTS
]
echo
"
$rpt
_post_cts"
>>
${DESIGN}
_DETAILS.rpt
# ------------------------------------------------------------------------------
# Routing
# ------------------------------------------------------------------------------
...
...
@@ -111,6 +120,8 @@ setNanoRouteMode -grouteExpWithTimingDriven false
routeDesign
#route_opt_design
saveDesign
${encDir}
/$
{
DESIGN
}
_route.enc
set
rpt_post_route
[
extract_report postRoute
]
echo
"
$rpt
_post_route"
>>
${DESIGN}
_DETAILS.rpt
defOut -netlist -floorplan -routing
${DESIGN}
_route.def
summaryReport -noHtml -outfile summaryReport/post_route.sum
...
...
Flows/NanGate45/mempool_tile/scripts/cadence/run_invs.tcl
View file @
c148f72d
...
...
@@ -75,6 +75,11 @@ setDesignMode -bottomRoutingLayer 2
place_opt_design -out_dir
$rpt
Dir -prefix place
saveDesign
$enc
Dir/$
{
DESIGN
}
_placed.enc
echo
"stage,core_area,standard_cell_area,macro_area,total_power,wire_length,wns,tns,h_c,v_c"
>
${DESIGN}
_DETAILS.rpt
source
../../../../util/extract_report.tcl
set
rpt_pre_cts
[
extract_report preCTS
]
echo
"
$rpt
_pre_cts"
>>
${DESIGN}
_DETAILS.rpt
set_ccopt_property post_conditioning_enable_routing_eco 1
set_ccopt_property -cts_def_lock_clock_sinks_after_routing true
setOptMode -unfixClkInstForOpt false
...
...
@@ -86,6 +91,10 @@ set_interactive_constraint_modes [all_constraint_modes -active]
set_propagated_clock
[
all_clocks
]
set_clock_propagation propagated
saveDesign
$enc
Dir/$
{
DESIGN
}
_cts.enc
set
rpt_post_cts
[
extract_report postCTS
]
echo
"
$rpt
_post_cts"
>>
${DESIGN}
_DETAILS.rpt
# ------------------------------------------------------------------------------
# Routing
# ------------------------------------------------------------------------------
...
...
@@ -111,6 +120,8 @@ setNanoRouteMode -grouteExpWithTimingDriven false
routeDesign
#route_opt_design
saveDesign
${encDir}
/$
{
DESIGN
}
_route.enc
set
rpt_post_route
[
extract_report postRoute
]
echo
"
$rpt
_post_route"
>>
${DESIGN}
_DETAILS.rpt
defOut -netlist -floorplan -routing
${DESIGN}
_route.def
summaryReport -noHtml -outfile summaryReport/post_route.sum
...
...
Flows/NanGate45/nvdla/scripts/cadence/run_invs.tcl
View file @
c148f72d
...
...
@@ -75,6 +75,11 @@ setDesignMode -bottomRoutingLayer 2
place_opt_design -out_dir
$rpt
Dir -prefix place
saveDesign
$enc
Dir/$
{
DESIGN
}
_placed.enc
echo
"stage,core_area,standard_cell_area,macro_area,total_power,wire_length,wns,tns,h_c,v_c"
>
${DESIGN}
_DETAILS.rpt
source
../../../../util/extract_report.tcl
set
rpt_pre_cts
[
extract_report preCTS
]
echo
"
$rpt
_pre_cts"
>>
${DESIGN}
_DETAILS.rpt
set_ccopt_property post_conditioning_enable_routing_eco 1
set_ccopt_property -cts_def_lock_clock_sinks_after_routing true
setOptMode -unfixClkInstForOpt false
...
...
@@ -86,6 +91,10 @@ set_interactive_constraint_modes [all_constraint_modes -active]
set_propagated_clock
[
all_clocks
]
set_clock_propagation propagated
saveDesign
$enc
Dir/$
{
DESIGN
}
_cts.enc
set
rpt_post_cts
[
extract_report postCTS
]
echo
"
$rpt
_post_cts"
>>
${DESIGN}
_DETAILS.rpt
# ------------------------------------------------------------------------------
# Routing
# ------------------------------------------------------------------------------
...
...
@@ -111,6 +120,8 @@ setNanoRouteMode -grouteExpWithTimingDriven false
routeDesign
#route_opt_design
saveDesign
${encDir}
/$
{
DESIGN
}
_route.enc
set
rpt_post_route
[
extract_report postRoute
]
echo
"
$rpt
_post_route"
>>
${DESIGN}
_DETAILS.rpt
defOut -netlist -floorplan -routing
${DESIGN}
_route.def
summaryReport -noHtml -outfile summaryReport/post_route.sum
...
...
Flows/SKY130HD/ariane133/constraints/ariane.sdc
View file @
c148f72d
#period set in nano-seconds - currently: 4ns = 250 MHz freq
create_clock [get_ports clk_i] -name core_clock -period
4
create_clock [get_ports clk_i] -name core_clock -period
6
Flows/SKY130HD/ariane133/scripts/cadence/run_invs.tcl
View file @
c148f72d
...
...
@@ -74,6 +74,11 @@ setDesignMode -bottomRoutingLayer 2
place_opt_design -out_dir
$rpt
Dir -prefix place
saveDesign
$enc
Dir/$
{
DESIGN
}
_placed.enc
echo
"stage,core_area,standard_cell_area,macro_area,total_power,wire_length,wns,tns,h_c,v_c"
>
${DESIGN}
_DETAILS.rpt
source
../../../../util/extract_report.tcl
set
rpt_pre_cts
[
extract_report preCTS
]
echo
"
$rpt
_pre_cts"
>>
${DESIGN}
_DETAILS.rpt
set_ccopt_property post_conditioning_enable_routing_eco 1
set_ccopt_property -cts_def_lock_clock_sinks_after_routing true
setOptMode -unfixClkInstForOpt false
...
...
@@ -85,6 +90,10 @@ set_interactive_constraint_modes [all_constraint_modes -active]
set_propagated_clock
[
all_clocks
]
set_clock_propagation propagated
saveDesign
$enc
Dir/$
{
DESIGN
}
_cts.enc
set
rpt_post_cts
[
extract_report postCTS
]
echo
"
$rpt
_post_cts"
>>
${DESIGN}
_DETAILS.rpt
# ------------------------------------------------------------------------------
# Routing
# ------------------------------------------------------------------------------
...
...
@@ -110,6 +119,10 @@ setNanoRouteMode -grouteExpWithTimingDriven false
routeDesign
#route_opt_design
saveDesign
${encDir}
/$
{
DESIGN
}
_route.enc
set
rpt_post_route
[
extract_report postRoute
]
echo
"
$rpt
_post_route"
>>
${DESIGN}
_DETAILS.rpt
defOut -netlist -floorplan -routing
${DESIGN}
_route.def
summaryReport -noHtml -outfile summaryReport/post_route.sum
...
...
Flows/SKY130HD/ariane136/constraints/ariane.sdc
View file @
c148f72d
# ####################################################################
# Created by Genus(TM) Synthesis Solution 21.10-p002_1 on Fri Jul 01 20:44:40 PDT 2022
# ####################################################################
set sdc_version 2.0
set_units -capacitance 1000fF
set_units -time 1000ps
# Set the current design
current_design ariane
create_clock -name "core_clock" -period 8.0 -waveform {0.0 4.0} [get_ports clk_i]
set_clock_gating_check -setup 0.0
set_wire_load_mode "top"
#period set in nano-seconds - currently: 4ns = 250 MHz freq
create_clock [get_ports clk_i] -name core_clock -period 6
Flows/SKY130HD/ariane136/scripts/cadence/run_invs.tcl
View file @
c148f72d
...
...
@@ -74,6 +74,11 @@ setDesignMode -bottomRoutingLayer 2
place_opt_design -out_dir
$rpt
Dir -prefix place
saveDesign
$enc
Dir/$
{
DESIGN
}
_placed.enc
echo
"stage,core_area,standard_cell_area,macro_area,total_power,wire_length,wns,tns,h_c,v_c"
>
${DESIGN}
_DETAILS.rpt
source
../../../../util/extract_report.tcl
set
rpt_pre_cts
[
extract_report preCTS
]
echo
"
$rpt
_pre_cts"
>>
${DESIGN}
_DETAILS.rpt
set_ccopt_property post_conditioning_enable_routing_eco 1
set_ccopt_property -cts_def_lock_clock_sinks_after_routing true
setOptMode -unfixClkInstForOpt false
...
...
@@ -85,6 +90,10 @@ set_interactive_constraint_modes [all_constraint_modes -active]
set_propagated_clock
[
all_clocks
]
set_clock_propagation propagated
saveDesign
$enc
Dir/$
{
DESIGN
}
_cts.enc
set
rpt_post_cts
[
extract_report postCTS
]
echo
"
$rpt
_post_cts"
>>
${DESIGN}
_DETAILS.rpt
# ------------------------------------------------------------------------------
# Routing
# ------------------------------------------------------------------------------
...
...
@@ -110,6 +119,10 @@ setNanoRouteMode -grouteExpWithTimingDriven false
routeDesign
#route_opt_design
saveDesign
${encDir}
/$
{
DESIGN
}
_route.enc
set
rpt_post_route
[
extract_report postRoute
]
echo
"
$rpt
_post_route"
>>
${DESIGN}
_DETAILS.rpt
defOut -netlist -floorplan -routing
${DESIGN}
_route.def
summaryReport -noHtml -outfile summaryReport/post_route.sum
...
...
Flows/SKY130HD/mempool_tile/scripts/cadence/run_invs.tcl
View file @
c148f72d
...
...
@@ -74,6 +74,11 @@ setDesignMode -bottomRoutingLayer 2
place_opt_design -out_dir
$rpt
Dir -prefix place
saveDesign
$enc
Dir/$
{
DESIGN
}
_placed.enc
echo
"stage,core_area,standard_cell_area,macro_area,total_power,wire_length,wns,tns,h_c,v_c"
>
${DESIGN}
_DETAILS.rpt
source
../../../../util/extract_report.tcl
set
rpt_pre_cts
[
extract_report preCTS
]
echo
"
$rpt
_pre_cts"
>>
${DESIGN}
_DETAILS.rpt
set_ccopt_property post_conditioning_enable_routing_eco 1
set_ccopt_property -cts_def_lock_clock_sinks_after_routing true
setOptMode -unfixClkInstForOpt false
...
...
@@ -85,6 +90,10 @@ set_interactive_constraint_modes [all_constraint_modes -active]
set_propagated_clock
[
all_clocks
]
set_clock_propagation propagated
saveDesign
$enc
Dir/$
{
DESIGN
}
_cts.enc
set
rpt_post_cts
[
extract_report postCTS
]
echo
"
$rpt
_post_cts"
>>
${DESIGN}
_DETAILS.rpt
# ------------------------------------------------------------------------------
# Routing
# ------------------------------------------------------------------------------
...
...
@@ -110,6 +119,10 @@ setNanoRouteMode -grouteExpWithTimingDriven false
routeDesign
#route_opt_design
saveDesign
${encDir}
/$
{
DESIGN
}
_route.enc
set
rpt_post_route
[
extract_report postRoute
]
echo
"
$rpt
_post_route"
>>
${DESIGN}
_DETAILS.rpt
defOut -netlist -floorplan -routing
${DESIGN}
_route.def
summaryReport -noHtml -outfile summaryReport/post_route.sum
...
...
Flows/SKY130HD/nvdla/constraints/NV_NVDLA_partition_c.sdc
View file @
c148f72d
...
...
@@ -12,7 +12,7 @@ set_ideal_network [get_ports direct_reset_]
set_ideal_network [get_ports dla_reset_rstn]
set_ideal_network -no_propagate [get_nets nvdla_core_rstn]
set_ideal_network [get_ports test_mode]
create_clock [get_ports nvdla_core_clk] -period
6.0 -waveform {0 3.0
}
create_clock [get_ports nvdla_core_clk] -period
5.0 -waveform {0 2.5
}
set_clock_transition -max -rise 0.15 [get_clocks nvdla_core_clk]
set_clock_transition -max -fall 0.15 [get_clocks nvdla_core_clk]
set_clock_transition -min -rise 0.15 [get_clocks nvdla_core_clk]
...
...
Flows/SKY130HD/nvdla/scripts/cadence/run_invs.tcl
View file @
c148f72d
...
...
@@ -74,6 +74,11 @@ setDesignMode -bottomRoutingLayer 2
place_opt_design -out_dir
$rpt
Dir -prefix place
saveDesign
$enc
Dir/$
{
DESIGN
}
_placed.enc
echo
"stage,core_area,standard_cell_area,macro_area,total_power,wire_length,wns,tns,h_c,v_c"
>
${DESIGN}
_DETAILS.rpt
source
../../../../util/extract_report.tcl
set
rpt_pre_cts
[
extract_report preCTS
]
echo
"
$rpt
_pre_cts"
>>
${DESIGN}
_DETAILS.rpt
set_ccopt_property post_conditioning_enable_routing_eco 1
set_ccopt_property -cts_def_lock_clock_sinks_after_routing true
setOptMode -unfixClkInstForOpt false
...
...
@@ -85,6 +90,10 @@ set_interactive_constraint_modes [all_constraint_modes -active]
set_propagated_clock
[
all_clocks
]
set_clock_propagation propagated
saveDesign
$enc
Dir/$
{
DESIGN
}
_cts.enc
set
rpt_post_cts
[
extract_report postCTS
]
echo
"
$rpt
_post_cts"
>>
${DESIGN}
_DETAILS.rpt
# ------------------------------------------------------------------------------
# Routing
# ------------------------------------------------------------------------------
...
...
@@ -110,6 +119,10 @@ setNanoRouteMode -grouteExpWithTimingDriven false
routeDesign
#route_opt_design
saveDesign
${encDir}
/$
{
DESIGN
}
_route.enc
set
rpt_post_route
[
extract_report postRoute
]
echo
"
$rpt
_post_route"
>>
${DESIGN}
_DETAILS.rpt
defOut -netlist -floorplan -routing
${DESIGN}
_route.def
summaryReport -noHtml -outfile summaryReport/post_route.sum
...
...
Write
Preview
Markdown
is supported
0%
Try again
or
attach a new file
Attach a file
Cancel
You are about to add
0
people
to the discussion. Proceed with caution.
Finish editing this message first!
Cancel
Please
register
or
sign in
to comment