Commit c148f72d by sakundu

Added report generation scripts, Updated sdc

Signed-off-by: sakundu <sakundu@ucsd.edu>
parent f763a5d7
# This script was written and developed by ABKGroup students at UCSD. However, the underlying commands and reports are copyrighted by Cadence.
# We thank Cadence for granting permission to share our research to help promote and foster the next generation of innovators.
setLibraryUnit -time 1.0ps
source lib_setup.tcl
source design_setup.tcl
source mmmc_setup.tcl
......@@ -76,6 +77,11 @@ setDesignMode -bottomRoutingLayer 2
place_opt_design -out_dir $rptDir -prefix place
saveDesign $encDir/${DESIGN}_placed.enc
echo "stage,core_area,standard_cell_area,macro_area,total_power,wire_length,wns,tns,h_c,v_c" > ${DESIGN}_DETAILS.rpt
source ../../../../util/extract_report.tcl
set rpt_pre_cts [extract_report preCTS]
echo "$rpt_pre_cts" >> ${DESIGN}_DETAILS.rpt
set_ccopt_property post_conditioning_enable_routing_eco 1
set_ccopt_property -cts_def_lock_clock_sinks_after_routing true
setOptMode -unfixClkInstForOpt false
......@@ -87,6 +93,11 @@ set_interactive_constraint_modes [all_constraint_modes -active]
set_propagated_clock [all_clocks]
set_clock_propagation propagated
saveDesign $encDir/${DESIGN}_cts.enc
set rpt_post_cts [extract_report postCTS]
echo "$rpt_post_cts" >> ${DESIGN}_DETAILS.rpt
# ------------------------------------------------------------------------------
# Routing
# ------------------------------------------------------------------------------
......@@ -112,6 +123,10 @@ setNanoRouteMode -grouteExpWithTimingDriven false
routeDesign
#route_opt_design
saveDesign ${encDir}/${DESIGN}_route.enc
set rpt_post_route [extract_report postRoute]
echo "$rpt_post_route" >> ${DESIGN}_DETAILS.rpt
defOut -netlist -floorplan -routing ${DESIGN}_route.def
summaryReport -noHtml -outfile summaryReport/post_route.sum
......
# This script was written and developed by ABKGroup students at UCSD. However, the underlying commands and reports are copyrighted by Cadence.
# We thank Cadence for granting permission to share our research to help promote and foster the next generation of innovators.
setLibraryUnit -time 1.0ps
source lib_setup.tcl
source design_setup.tcl
source mmmc_setup.tcl
......@@ -76,6 +77,11 @@ setDesignMode -bottomRoutingLayer 2
place_opt_design -out_dir $rptDir -prefix place
saveDesign $encDir/${DESIGN}_placed.enc
echo "stage,core_area,standard_cell_area,macro_area,total_power,wire_length,wns,tns,h_c,v_c" > ${DESIGN}_DETAILS.rpt
source ../../../../util/extract_report.tcl
set rpt_pre_cts [extract_report preCTS]
echo "$rpt_pre_cts" >> ${DESIGN}_DETAILS.rpt
set_ccopt_property post_conditioning_enable_routing_eco 1
set_ccopt_property -cts_def_lock_clock_sinks_after_routing true
setOptMode -unfixClkInstForOpt false
......@@ -87,6 +93,10 @@ set_interactive_constraint_modes [all_constraint_modes -active]
set_propagated_clock [all_clocks]
set_clock_propagation propagated
saveDesign $encDir/${DESIGN}_cts.enc
set rpt_post_cts [extract_report postCTS]
echo "$rpt_post_cts" >> ${DESIGN}_DETAILS.rpt
# ------------------------------------------------------------------------------
# Routing
# ------------------------------------------------------------------------------
......@@ -112,6 +122,10 @@ setNanoRouteMode -grouteExpWithTimingDriven false
routeDesign
#route_opt_design
saveDesign ${encDir}/${DESIGN}_route.enc
set rpt_post_route [extract_report postRoute]
echo "$rpt_post_route" >> ${DESIGN}_DETAILS.rpt
defOut -netlist -floorplan -routing ${DESIGN}_route.def
summaryReport -noHtml -outfile summaryReport/post_route.sum
......
# This script was written and developed by ABKGroup students at UCSD. However, the underlying commands and reports are copyrighted by Cadence.
# We thank Cadence for granting permission to share our research to help promote and foster the next generation of innovators.
setLibraryUnit -time 1.0ps
source lib_setup.tcl
source design_setup.tcl
source mmmc_setup.tcl
......@@ -76,6 +77,11 @@ setDesignMode -bottomRoutingLayer 2
place_opt_design -out_dir $rptDir -prefix place
saveDesign $encDir/${DESIGN}_placed.enc
echo "stage,core_area,standard_cell_area,macro_area,total_power,wire_length,wns,tns,h_c,v_c" > ${DESIGN}_DETAILS.rpt
source ../../../../util/extract_report.tcl
set rpt_pre_cts [extract_report preCTS]
echo "$rpt_pre_cts" >> ${DESIGN}_DETAILS.rpt
set_ccopt_property post_conditioning_enable_routing_eco 1
set_ccopt_property -cts_def_lock_clock_sinks_after_routing true
setOptMode -unfixClkInstForOpt false
......@@ -87,6 +93,11 @@ set_interactive_constraint_modes [all_constraint_modes -active]
set_propagated_clock [all_clocks]
set_clock_propagation propagated
saveDesign $encDir/${DESIGN}_cts.enc
set rpt_post_cts [extract_report postCTS]
echo "$rpt_post_cts" >> ${DESIGN}_DETAILS.rpt
# ------------------------------------------------------------------------------
# Routing
# ------------------------------------------------------------------------------
......@@ -112,6 +123,10 @@ setNanoRouteMode -grouteExpWithTimingDriven false
routeDesign
#route_opt_design
saveDesign ${encDir}/${DESIGN}_route.enc
set rpt_post_route [extract_report postRoute]
echo "$rpt_post_route" >> ${DESIGN}_DETAILS.rpt
defOut -netlist -floorplan -routing ${DESIGN}_route.def
summaryReport -noHtml -outfile summaryReport/post_route.sum
......
......@@ -12,11 +12,11 @@ set_ideal_network [get_ports direct_reset_]
set_ideal_network [get_ports dla_reset_rstn]
set_ideal_network -no_propagate [get_nets nvdla_core_rstn]
set_ideal_network [get_ports test_mode]
create_clock [get_ports nvdla_core_clk] -period 0.9 -waveform {0 0.45}
set_clock_transition -max -rise 0.05 [get_clocks nvdla_core_clk]
set_clock_transition -max -fall 0.05 [get_clocks nvdla_core_clk]
set_clock_transition -min -rise 0.05 [get_clocks nvdla_core_clk]
set_clock_transition -min -fall 0.05 [get_clocks nvdla_core_clk]
create_clock [get_ports nvdla_core_clk] -period 900.0 -waveform {0 450.0}
set_clock_transition -max -rise 50 [get_clocks nvdla_core_clk]
set_clock_transition -max -fall 50 [get_clocks nvdla_core_clk]
set_clock_transition -min -rise 50 [get_clocks nvdla_core_clk]
set_clock_transition -min -fall 50 [get_clocks nvdla_core_clk]
set_false_path -from [get_ports direct_reset_]
set_false_path -from [get_ports dla_reset_rstn]
set_false_path -from [get_ports test_mode]
......
# This script was written and developed by ABKGroup students at UCSD. However, the underlying commands and reports are copyrighted by Cadence.
# We thank Cadence for granting permission to share our research to help promote and foster the next generation of innovators.
setLibraryUnit -time 1.0ps
source lib_setup.tcl
source design_setup.tcl
source mmmc_setup.tcl
......@@ -76,6 +77,11 @@ setDesignMode -bottomRoutingLayer 2
place_opt_design -out_dir $rptDir -prefix place
saveDesign $encDir/${DESIGN}_placed.enc
echo "stage,core_area,standard_cell_area,macro_area,total_power,wire_length,wns,tns,h_c,v_c" > ${DESIGN}_DETAILS.rpt
source ../../../../util/extract_report.tcl
set rpt_pre_cts [extract_report preCTS]
echo "$rpt_pre_cts" >> ${DESIGN}_DETAILS.rpt
set_ccopt_property post_conditioning_enable_routing_eco 1
set_ccopt_property -cts_def_lock_clock_sinks_after_routing true
setOptMode -unfixClkInstForOpt false
......@@ -87,6 +93,10 @@ set_interactive_constraint_modes [all_constraint_modes -active]
set_propagated_clock [all_clocks]
set_clock_propagation propagated
saveDesign $encDir/${DESIGN}_cts.enc
set rpt_post_cts [extract_report postCTS]
echo "$rpt_post_cts" >> ${DESIGN}_DETAILS.rpt
# ------------------------------------------------------------------------------
# Routing
# ------------------------------------------------------------------------------
......@@ -112,6 +122,10 @@ setNanoRouteMode -grouteExpWithTimingDriven false
routeDesign
#route_opt_design
saveDesign ${encDir}/${DESIGN}_route.enc
set rpt_post_route [extract_report postRoute]
echo "$rpt_post_route" >> ${DESIGN}_DETAILS.rpt
defOut -netlist -floorplan -routing ${DESIGN}_route.def
summaryReport -noHtml -outfile summaryReport/post_route.sum
......
......@@ -2337,1498 +2337,1489 @@ GCELLGRID Y 0 DO 2 STEP 140 ;
PINS 495 ;
- clk_i + NET clk_i + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1748460 ) E ;
+ FIXED ( 0 700140 ) E ;
- rst_ni + NET rst_ni + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1806420 ) E ;
+ FIXED ( 0 707420 ) E ;
- boot_addr_i[63] + NET boot_addr_i[63] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1747620 ) E ;
+ FIXED ( 0 714700 ) E ;
- boot_addr_i[62] + NET boot_addr_i[62] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1746780 ) E ;
+ FIXED ( 0 721980 ) E ;
- boot_addr_i[61] + NET boot_addr_i[61] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1745940 ) E ;
+ FIXED ( 0 729260 ) E ;
- boot_addr_i[60] + NET boot_addr_i[60] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1745100 ) E ;
+ FIXED ( 0 736540 ) E ;
- boot_addr_i[59] + NET boot_addr_i[59] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1744260 ) E ;
+ FIXED ( 0 743820 ) E ;
- boot_addr_i[58] + NET boot_addr_i[58] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1743420 ) E ;
+ FIXED ( 0 751100 ) E ;
- boot_addr_i[57] + NET boot_addr_i[57] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1742580 ) E ;
+ FIXED ( 0 758660 ) E ;
- boot_addr_i[56] + NET boot_addr_i[56] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1741740 ) E ;
+ FIXED ( 0 765940 ) E ;
- boot_addr_i[55] + NET boot_addr_i[55] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1740900 ) E ;
+ FIXED ( 0 773220 ) E ;
- boot_addr_i[54] + NET boot_addr_i[54] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1740060 ) E ;
+ FIXED ( 0 780500 ) E ;
- boot_addr_i[53] + NET boot_addr_i[53] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1739220 ) E ;
+ FIXED ( 0 787780 ) E ;
- boot_addr_i[52] + NET boot_addr_i[52] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1738380 ) E ;
+ FIXED ( 0 795060 ) E ;
- boot_addr_i[51] + NET boot_addr_i[51] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1737540 ) E ;
+ FIXED ( 0 802340 ) E ;
- boot_addr_i[50] + NET boot_addr_i[50] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1736700 ) E ;
+ FIXED ( 0 809620 ) E ;
- boot_addr_i[49] + NET boot_addr_i[49] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1735860 ) E ;
+ FIXED ( 0 817180 ) E ;
- boot_addr_i[48] + NET boot_addr_i[48] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1735020 ) E ;
+ FIXED ( 0 824460 ) E ;
- boot_addr_i[47] + NET boot_addr_i[47] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1734180 ) E ;
+ FIXED ( 0 831740 ) E ;
- boot_addr_i[46] + NET boot_addr_i[46] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1733340 ) E ;
+ FIXED ( 0 839020 ) E ;
- boot_addr_i[45] + NET boot_addr_i[45] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1732500 ) E ;
+ FIXED ( 0 846300 ) E ;
- boot_addr_i[44] + NET boot_addr_i[44] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1731660 ) E ;
+ FIXED ( 0 853580 ) E ;
- boot_addr_i[43] + NET boot_addr_i[43] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1730820 ) E ;
+ FIXED ( 0 860860 ) E ;
- boot_addr_i[42] + NET boot_addr_i[42] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1729980 ) E ;
+ FIXED ( 0 868140 ) E ;
- boot_addr_i[41] + NET boot_addr_i[41] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1729140 ) E ;
+ FIXED ( 0 875700 ) E ;
- boot_addr_i[40] + NET boot_addr_i[40] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1728300 ) E ;
+ FIXED ( 0 882980 ) E ;
- boot_addr_i[39] + NET boot_addr_i[39] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1727460 ) E ;
+ FIXED ( 0 890260 ) E ;
- boot_addr_i[38] + NET boot_addr_i[38] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1726620 ) E ;
+ FIXED ( 0 897540 ) E ;
- boot_addr_i[37] + NET boot_addr_i[37] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1725780 ) E ;
+ FIXED ( 0 904820 ) E ;
- boot_addr_i[36] + NET boot_addr_i[36] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1724940 ) E ;
+ FIXED ( 0 912100 ) E ;
- boot_addr_i[35] + NET boot_addr_i[35] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1724100 ) E ;
+ FIXED ( 0 919380 ) E ;
- boot_addr_i[34] + NET boot_addr_i[34] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1723260 ) E ;
+ FIXED ( 0 926940 ) E ;
- boot_addr_i[33] + NET boot_addr_i[33] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1722420 ) E ;
+ FIXED ( 0 934220 ) E ;
- boot_addr_i[32] + NET boot_addr_i[32] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1721580 ) E ;
+ FIXED ( 0 941500 ) E ;
- boot_addr_i[31] + NET boot_addr_i[31] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1720740 ) E ;
+ FIXED ( 0 948780 ) E ;
- boot_addr_i[30] + NET boot_addr_i[30] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1719900 ) E ;
+ FIXED ( 0 956060 ) E ;
- boot_addr_i[29] + NET boot_addr_i[29] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1719060 ) E ;
+ FIXED ( 0 963340 ) E ;
- boot_addr_i[28] + NET boot_addr_i[28] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1718220 ) E ;
+ FIXED ( 0 970620 ) E ;
- boot_addr_i[27] + NET boot_addr_i[27] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1717380 ) E ;
+ FIXED ( 0 977900 ) E ;
- boot_addr_i[26] + NET boot_addr_i[26] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1716540 ) E ;
+ FIXED ( 0 985460 ) E ;
- boot_addr_i[25] + NET boot_addr_i[25] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1715700 ) E ;
+ FIXED ( 0 992740 ) E ;
- boot_addr_i[24] + NET boot_addr_i[24] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1714860 ) E ;
+ FIXED ( 0 1000020 ) E ;
- boot_addr_i[23] + NET boot_addr_i[23] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1714020 ) E ;
+ FIXED ( 0 1007300 ) E ;
- boot_addr_i[22] + NET boot_addr_i[22] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1713180 ) E ;
+ FIXED ( 0 1014580 ) E ;
- boot_addr_i[21] + NET boot_addr_i[21] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1712340 ) E ;
+ FIXED ( 0 1021860 ) E ;
- boot_addr_i[20] + NET boot_addr_i[20] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1711500 ) E ;
+ FIXED ( 0 1029140 ) E ;
- boot_addr_i[19] + NET boot_addr_i[19] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1710660 ) E ;
+ FIXED ( 0 1036420 ) E ;
- boot_addr_i[18] + NET boot_addr_i[18] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1709820 ) E ;
+ FIXED ( 0 1043980 ) E ;
- boot_addr_i[17] + NET boot_addr_i[17] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1708980 ) E ;
+ FIXED ( 0 1051260 ) E ;
- boot_addr_i[16] + NET boot_addr_i[16] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1708140 ) E ;
+ FIXED ( 0 1058540 ) E ;
- boot_addr_i[15] + NET boot_addr_i[15] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1707300 ) E ;
+ FIXED ( 0 1065820 ) E ;
- boot_addr_i[14] + NET boot_addr_i[14] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1706460 ) E ;
+ FIXED ( 0 1073100 ) E ;
- boot_addr_i[13] + NET boot_addr_i[13] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1705620 ) E ;
+ FIXED ( 0 1080380 ) E ;
- boot_addr_i[12] + NET boot_addr_i[12] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1704780 ) E ;
+ FIXED ( 0 1087660 ) E ;
- boot_addr_i[11] + NET boot_addr_i[11] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1703940 ) E ;
+ FIXED ( 0 1095220 ) E ;
- boot_addr_i[10] + NET boot_addr_i[10] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1703100 ) E ;
+ FIXED ( 0 1102500 ) E ;
- boot_addr_i[9] + NET boot_addr_i[9] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1702260 ) E ;
+ FIXED ( 0 1109780 ) E ;
- boot_addr_i[8] + NET boot_addr_i[8] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1701420 ) E ;
+ FIXED ( 0 1117060 ) E ;
- boot_addr_i[7] + NET boot_addr_i[7] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1700580 ) E ;
+ FIXED ( 0 1124340 ) E ;
- boot_addr_i[6] + NET boot_addr_i[6] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1699740 ) E ;
+ FIXED ( 0 1131620 ) E ;
- boot_addr_i[5] + NET boot_addr_i[5] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1698900 ) E ;
+ FIXED ( 0 1138900 ) E ;
- boot_addr_i[4] + NET boot_addr_i[4] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1698060 ) E ;
+ FIXED ( 0 1146180 ) E ;
- boot_addr_i[3] + NET boot_addr_i[3] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1697220 ) E ;
+ FIXED ( 0 1153740 ) E ;
- boot_addr_i[2] + NET boot_addr_i[2] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1696380 ) E ;
+ FIXED ( 0 1161020 ) E ;
- boot_addr_i[1] + NET boot_addr_i[1] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1695540 ) E ;
+ FIXED ( 0 1168300 ) E ;
- boot_addr_i[0] + NET boot_addr_i[0] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1694700 ) E ;
+ FIXED ( 0 1175580 ) E ;
- hart_id_i[63] + NET hart_id_i[63] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1803060 ) E ;
+ FIXED ( 0 1182860 ) E ;
- hart_id_i[62] + NET hart_id_i[62] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1802220 ) E ;
+ FIXED ( 0 1190140 ) E ;
- hart_id_i[61] + NET hart_id_i[61] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1801380 ) E ;
+ FIXED ( 0 1197420 ) E ;
- hart_id_i[60] + NET hart_id_i[60] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1800540 ) E ;
+ FIXED ( 0 1204700 ) E ;
- hart_id_i[59] + NET hart_id_i[59] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1799700 ) E ;
+ FIXED ( 0 1212260 ) E ;
- hart_id_i[58] + NET hart_id_i[58] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1798860 ) E ;
+ FIXED ( 0 1219540 ) E ;
- hart_id_i[57] + NET hart_id_i[57] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1798020 ) E ;
+ FIXED ( 0 1226820 ) E ;
- hart_id_i[56] + NET hart_id_i[56] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1797180 ) E ;
+ FIXED ( 0 1234100 ) E ;
- hart_id_i[55] + NET hart_id_i[55] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1796340 ) E ;
+ FIXED ( 0 1241380 ) E ;
- hart_id_i[54] + NET hart_id_i[54] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1795500 ) E ;
+ FIXED ( 0 1248660 ) E ;
- hart_id_i[53] + NET hart_id_i[53] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1794660 ) E ;
+ FIXED ( 0 1255940 ) E ;
- hart_id_i[52] + NET hart_id_i[52] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1793820 ) E ;
+ FIXED ( 0 1263500 ) E ;
- hart_id_i[51] + NET hart_id_i[51] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1792980 ) E ;
+ FIXED ( 0 1270780 ) E ;
- hart_id_i[50] + NET hart_id_i[50] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1792140 ) E ;
+ FIXED ( 0 1278060 ) E ;
- hart_id_i[49] + NET hart_id_i[49] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1791300 ) E ;
+ FIXED ( 0 1285340 ) E ;
- hart_id_i[48] + NET hart_id_i[48] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1790460 ) E ;
+ FIXED ( 0 1292620 ) E ;
- hart_id_i[47] + NET hart_id_i[47] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1789620 ) E ;
+ FIXED ( 0 1299900 ) E ;
- hart_id_i[46] + NET hart_id_i[46] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1788780 ) E ;
+ FIXED ( 0 1307180 ) E ;
- hart_id_i[45] + NET hart_id_i[45] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1787940 ) E ;
+ FIXED ( 0 1314460 ) E ;
- hart_id_i[44] + NET hart_id_i[44] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1787100 ) E ;
+ FIXED ( 0 1322020 ) E ;
- hart_id_i[43] + NET hart_id_i[43] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1786260 ) E ;
+ FIXED ( 0 1329300 ) E ;
- hart_id_i[42] + NET hart_id_i[42] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1785420 ) E ;
+ FIXED ( 0 1336580 ) E ;
- hart_id_i[41] + NET hart_id_i[41] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1784580 ) E ;
+ FIXED ( 0 1343860 ) E ;
- hart_id_i[40] + NET hart_id_i[40] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1783740 ) E ;
+ FIXED ( 0 1351140 ) E ;
- hart_id_i[39] + NET hart_id_i[39] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1782900 ) E ;
+ FIXED ( 0 1358420 ) E ;
- hart_id_i[38] + NET hart_id_i[38] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1782060 ) E ;
+ FIXED ( 0 1365700 ) E ;
- hart_id_i[37] + NET hart_id_i[37] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1781220 ) E ;
+ FIXED ( 0 1372980 ) E ;
- hart_id_i[36] + NET hart_id_i[36] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1780380 ) E ;
+ FIXED ( 0 1380540 ) E ;
- hart_id_i[35] + NET hart_id_i[35] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1779540 ) E ;
+ FIXED ( 0 1387820 ) E ;
- hart_id_i[34] + NET hart_id_i[34] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1778700 ) E ;
+ FIXED ( 0 1395100 ) E ;
- hart_id_i[33] + NET hart_id_i[33] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1777860 ) E ;
+ FIXED ( 0 1402380 ) E ;
- hart_id_i[32] + NET hart_id_i[32] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1777020 ) E ;
+ FIXED ( 0 1409660 ) E ;
- hart_id_i[31] + NET hart_id_i[31] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1776180 ) E ;
+ FIXED ( 0 1416940 ) E ;
- hart_id_i[30] + NET hart_id_i[30] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1775340 ) E ;
+ FIXED ( 0 1424220 ) E ;
- hart_id_i[29] + NET hart_id_i[29] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1774500 ) E ;
+ FIXED ( 0 1431780 ) E ;
- hart_id_i[28] + NET hart_id_i[28] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1773660 ) E ;
+ FIXED ( 0 1439060 ) E ;
- hart_id_i[27] + NET hart_id_i[27] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1772820 ) E ;
+ FIXED ( 0 1446340 ) E ;
- hart_id_i[26] + NET hart_id_i[26] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1771980 ) E ;
+ FIXED ( 0 1453620 ) E ;
- hart_id_i[25] + NET hart_id_i[25] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1771140 ) E ;
+ FIXED ( 0 1460900 ) E ;
- hart_id_i[24] + NET hart_id_i[24] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1770300 ) E ;
+ FIXED ( 0 1468180 ) E ;
- hart_id_i[23] + NET hart_id_i[23] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1769460 ) E ;
+ FIXED ( 0 1475460 ) E ;
- hart_id_i[22] + NET hart_id_i[22] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1768620 ) E ;
+ FIXED ( 0 1482740 ) E ;
- hart_id_i[21] + NET hart_id_i[21] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1767780 ) E ;
+ FIXED ( 0 1490300 ) E ;
- hart_id_i[20] + NET hart_id_i[20] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1766940 ) E ;
+ FIXED ( 0 1497580 ) E ;
- hart_id_i[19] + NET hart_id_i[19] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1766100 ) E ;
+ FIXED ( 0 1504860 ) E ;
- hart_id_i[18] + NET hart_id_i[18] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1765260 ) E ;
+ FIXED ( 0 1512140 ) E ;
- hart_id_i[17] + NET hart_id_i[17] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1764420 ) E ;
+ FIXED ( 0 1519420 ) E ;
- hart_id_i[16] + NET hart_id_i[16] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1763580 ) E ;
+ FIXED ( 0 1526700 ) E ;
- hart_id_i[15] + NET hart_id_i[15] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1762740 ) E ;
+ FIXED ( 0 1533980 ) E ;
- hart_id_i[14] + NET hart_id_i[14] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1761900 ) E ;
+ FIXED ( 0 1541260 ) E ;
- hart_id_i[13] + NET hart_id_i[13] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1761060 ) E ;
+ FIXED ( 0 1548820 ) E ;
- hart_id_i[12] + NET hart_id_i[12] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1760220 ) E ;
+ FIXED ( 0 1556100 ) E ;
- hart_id_i[11] + NET hart_id_i[11] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1759380 ) E ;
+ FIXED ( 0 1563380 ) E ;
- hart_id_i[10] + NET hart_id_i[10] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1758540 ) E ;
+ FIXED ( 0 1570660 ) E ;
- hart_id_i[9] + NET hart_id_i[9] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1757700 ) E ;
+ FIXED ( 0 1577940 ) E ;
- hart_id_i[8] + NET hart_id_i[8] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1756860 ) E ;
+ FIXED ( 0 1585220 ) E ;
- hart_id_i[7] + NET hart_id_i[7] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1756020 ) E ;
+ FIXED ( 0 1592500 ) E ;
- hart_id_i[6] + NET hart_id_i[6] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1755180 ) E ;
+ FIXED ( 0 1599780 ) E ;
- hart_id_i[5] + NET hart_id_i[5] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1754340 ) E ;
+ FIXED ( 0 1607340 ) E ;
- hart_id_i[4] + NET hart_id_i[4] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1753500 ) E ;
+ FIXED ( 0 1614620 ) E ;
- hart_id_i[3] + NET hart_id_i[3] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1752660 ) E ;
+ FIXED ( 0 1621900 ) E ;
- hart_id_i[2] + NET hart_id_i[2] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1751820 ) E ;
+ FIXED ( 0 1629180 ) E ;
- hart_id_i[1] + NET hart_id_i[1] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1750980 ) E ;
+ FIXED ( 0 1636460 ) E ;
- hart_id_i[0] + NET hart_id_i[0] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1750140 ) E ;
+ FIXED ( 0 1643740 ) E ;
- irq_i[1] + NET irq_i[1] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1805580 ) E ;
+ FIXED ( 0 1651020 ) E ;
- irq_i[0] + NET irq_i[0] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1804740 ) E ;
+ FIXED ( 0 1658580 ) E ;
- ipi_i + NET ipi_i + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1803900 ) E ;
+ FIXED ( 0 1665860 ) E ;
- time_irq_i + NET time_irq_i + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1807260 ) E ;
+ FIXED ( 0 1673140 ) E ;
- debug_req_i + NET debug_req_i + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1749300 ) E ;
+ FIXED ( 0 1680420 ) E ;
- axi_req_o[277] + NET axi_req_o[277] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1899100 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1687700 ) E ;
- axi_req_o[276] + NET axi_req_o[276] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1896860 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1694980 ) E ;
- axi_req_o[275] + NET axi_req_o[275] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1894620 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1702260 ) E ;
- axi_req_o[274] + NET axi_req_o[274] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1891820 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1709540 ) E ;
- axi_req_o[273] + NET axi_req_o[273] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1889580 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1717100 ) E ;
- axi_req_o[272] + NET axi_req_o[272] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1886780 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1724380 ) E ;
- axi_req_o[271] + NET axi_req_o[271] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1884540 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1731660 ) E ;
- axi_req_o[270] + NET axi_req_o[270] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1881740 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1738940 ) E ;
- axi_req_o[269] + NET axi_req_o[269] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1879500 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1746220 ) E ;
- axi_req_o[268] + NET axi_req_o[268] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1876700 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1753500 ) E ;
- axi_req_o[267] + NET axi_req_o[267] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1874460 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1760780 ) E ;
- axi_req_o[266] + NET axi_req_o[266] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1871660 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1768060 ) E ;
- axi_req_o[265] + NET axi_req_o[265] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1869420 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1775620 ) E ;
- axi_req_o[264] + NET axi_req_o[264] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1866620 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1782900 ) E ;
- axi_req_o[263] + NET axi_req_o[263] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1864380 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1790180 ) E ;
- axi_req_o[262] + NET axi_req_o[262] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1861580 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1797460 ) E ;
- axi_req_o[261] + NET axi_req_o[261] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1859340 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1804740 ) E ;
- axi_req_o[260] + NET axi_req_o[260] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1856540 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1812020 ) E ;
- axi_req_o[259] + NET axi_req_o[259] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1854300 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1819300 ) E ;
- axi_req_o[258] + NET axi_req_o[258] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1851500 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1826860 ) E ;
- axi_req_o[257] + NET axi_req_o[257] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1849260 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1834140 ) E ;
- axi_req_o[256] + NET axi_req_o[256] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1846460 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1841420 ) E ;
- axi_req_o[255] + NET axi_req_o[255] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1844220 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1848700 ) E ;
- axi_req_o[254] + NET axi_req_o[254] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1841420 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1855980 ) E ;
- axi_req_o[253] + NET axi_req_o[253] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1839180 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1863260 ) E ;
- axi_req_o[252] + NET axi_req_o[252] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1836380 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1870540 ) E ;
- axi_req_o[251] + NET axi_req_o[251] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1834140 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1877820 ) E ;
- axi_req_o[250] + NET axi_req_o[250] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1831340 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1885380 ) E ;
- axi_req_o[249] + NET axi_req_o[249] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1829100 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1892660 ) E ;
- axi_req_o[248] + NET axi_req_o[248] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1826300 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1899940 ) E ;
- axi_req_o[247] + NET axi_req_o[247] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1824060 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1907220 ) E ;
- axi_req_o[246] + NET axi_req_o[246] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1821260 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1914500 ) E ;
- axi_req_o[245] + NET axi_req_o[245] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1819020 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1921780 ) E ;
- axi_req_o[244] + NET axi_req_o[244] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1816220 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1929060 ) E ;
- axi_req_o[243] + NET axi_req_o[243] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1813980 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1936340 ) E ;
- axi_req_o[242] + NET axi_req_o[242] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1811180 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1943900 ) E ;
- axi_req_o[241] + NET axi_req_o[241] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1808940 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1951180 ) E ;
- axi_req_o[240] + NET axi_req_o[240] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1806140 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1958460 ) E ;
- axi_req_o[239] + NET axi_req_o[239] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1803900 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1965740 ) E ;
- axi_req_o[238] + NET axi_req_o[238] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1801100 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1973020 ) E ;
- axi_req_o[237] + NET axi_req_o[237] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1798860 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1980300 ) E ;
- axi_req_o[236] + NET axi_req_o[236] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1796060 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1987580 ) E ;
- axi_req_o[235] + NET axi_req_o[235] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1793820 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1995140 ) E ;
- axi_req_o[234] + NET axi_req_o[234] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1791020 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2002420 ) E ;
- axi_req_o[233] + NET axi_req_o[233] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1788780 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2009700 ) E ;
- axi_req_o[232] + NET axi_req_o[232] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1785980 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2016980 ) E ;
- axi_req_o[231] + NET axi_req_o[231] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1783740 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2024260 ) E ;
- axi_req_o[230] + NET axi_req_o[230] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1780940 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2031540 ) E ;
- axi_req_o[229] + NET axi_req_o[229] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1778700 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2038820 ) E ;
- axi_req_o[228] + NET axi_req_o[228] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1775900 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2046100 ) E ;
- axi_req_o[227] + NET axi_req_o[227] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1773660 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2053660 ) E ;
- axi_req_o[226] + NET axi_req_o[226] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1770860 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2060940 ) E ;
- axi_req_o[225] + NET axi_req_o[225] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1768620 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2068220 ) E ;
- axi_req_o[224] + NET axi_req_o[224] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1765820 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2075500 ) E ;
- axi_req_o[223] + NET axi_req_o[223] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1763580 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2082780 ) E ;
- axi_req_o[222] + NET axi_req_o[222] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1760780 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2090060 ) E ;
- axi_req_o[221] + NET axi_req_o[221] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1758540 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2097340 ) E ;
- axi_req_o[220] + NET axi_req_o[220] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1755740 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2104620 ) E ;
- axi_req_o[219] + NET axi_req_o[219] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1753500 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2112180 ) E ;
- axi_req_o[218] + NET axi_req_o[218] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1750700 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2119460 ) E ;
- axi_req_o[217] + NET axi_req_o[217] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1748460 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2126740 ) E ;
- axi_req_o[216] + NET axi_req_o[216] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1745660 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2134020 ) E ;
- axi_req_o[215] + NET axi_req_o[215] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1743420 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2141300 ) E ;
- axi_req_o[214] + NET axi_req_o[214] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1740620 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2148580 ) E ;
- axi_req_o[213] + NET axi_req_o[213] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1738380 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2155860 ) E ;
- axi_req_o[212] + NET axi_req_o[212] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1735580 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2163420 ) E ;
- axi_req_o[211] + NET axi_req_o[211] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1733340 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2170700 ) E ;
- axi_req_o[210] + NET axi_req_o[210] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1730540 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2177980 ) E ;
- axi_req_o[209] + NET axi_req_o[209] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1728300 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2185260 ) E ;
- axi_req_o[208] + NET axi_req_o[208] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1725500 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2192540 ) E ;
- axi_req_o[207] + NET axi_req_o[207] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1723260 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2199820 ) E ;
- axi_req_o[206] + NET axi_req_o[206] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1720460 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2207100 ) E ;
- axi_req_o[205] + NET axi_req_o[205] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1718220 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2214380 ) E ;
- axi_req_o[204] + NET axi_req_o[204] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1715420 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2221940 ) E ;
- axi_req_o[203] + NET axi_req_o[203] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1713180 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2229220 ) E ;
- axi_req_o[202] + NET axi_req_o[202] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1710380 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2236500 ) E ;
- axi_req_o[201] + NET axi_req_o[201] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1708140 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2243780 ) E ;
- axi_req_o[200] + NET axi_req_o[200] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1705340 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2251060 ) E ;
- axi_req_o[199] + NET axi_req_o[199] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1703100 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2258340 ) E ;
- axi_req_o[198] + NET axi_req_o[198] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1700300 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2265620 ) E ;
- axi_req_o[197] + NET axi_req_o[197] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1698060 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2272900 ) E ;
- axi_req_o[196] + NET axi_req_o[196] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1695260 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2280460 ) E ;
- axi_req_o[195] + NET axi_req_o[195] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1693020 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2287740 ) E ;
- axi_req_o[194] + NET axi_req_o[194] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1690220 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2295020 ) E ;
- axi_req_o[193] + NET axi_req_o[193] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1687980 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2302300 ) E ;
- axi_req_o[192] + NET axi_req_o[192] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1685180 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2309580 ) E ;
- axi_req_o[191] + NET axi_req_o[191] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1682940 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2316860 ) E ;
- axi_req_o[190] + NET axi_req_o[190] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1680140 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2324140 ) E ;
- axi_req_o[189] + NET axi_req_o[189] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1677900 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2331420 ) E ;
- axi_req_o[188] + NET axi_req_o[188] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1675100 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2338980 ) E ;
- axi_req_o[187] + NET axi_req_o[187] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1672860 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2346260 ) E ;
- axi_req_o[186] + NET axi_req_o[186] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1670060 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2353540 ) E ;
- axi_req_o[185] + NET axi_req_o[185] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1667820 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2360820 ) E ;
- axi_req_o[184] + NET axi_req_o[184] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1665020 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2368100 ) E ;
- axi_req_o[183] + NET axi_req_o[183] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1662780 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2375380 ) E ;
- axi_req_o[182] + NET axi_req_o[182] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1659980 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2382660 ) E ;
- axi_req_o[181] + NET axi_req_o[181] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1657740 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2390220 ) E ;
- axi_req_o[180] + NET axi_req_o[180] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1654940 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2397500 ) E ;
- axi_req_o[179] + NET axi_req_o[179] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1652700 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2404780 ) E ;
- axi_req_o[178] + NET axi_req_o[178] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1649900 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2412060 ) E ;
- axi_req_o[177] + NET axi_req_o[177] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1647660 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2419340 ) E ;
- axi_req_o[176] + NET axi_req_o[176] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1644860 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2426620 ) E ;
- axi_req_o[175] + NET axi_req_o[175] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1642620 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2433900 ) E ;
- axi_req_o[174] + NET axi_req_o[174] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1639820 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2441180 ) E ;
- axi_req_o[173] + NET axi_req_o[173] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1637580 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2448460 ) E ;
- axi_req_o[172] + NET axi_req_o[172] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1634780 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2456020 ) E ;
- axi_req_o[171] + NET axi_req_o[171] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1632540 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2463300 ) E ;
- axi_req_o[170] + NET axi_req_o[170] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1629740 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2470580 ) E ;
- axi_req_o[169] + NET axi_req_o[169] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1627500 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2477860 ) E ;
- axi_req_o[168] + NET axi_req_o[168] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1624700 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2485140 ) E ;
- axi_req_o[167] + NET axi_req_o[167] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1622460 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2492420 ) E ;
- axi_req_o[166] + NET axi_req_o[166] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1619660 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2499700 ) E ;
- axi_req_o[165] + NET axi_req_o[165] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1617420 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 700140 ) E ;
- axi_req_o[164] + NET axi_req_o[164] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1614620 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 707420 ) E ;
- axi_req_o[163] + NET axi_req_o[163] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1612380 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 714700 ) E ;
- axi_req_o[162] + NET axi_req_o[162] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1609580 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 721980 ) E ;
- axi_req_o[161] + NET axi_req_o[161] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1607340 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 729260 ) E ;
- axi_req_o[160] + NET axi_req_o[160] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1604540 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 736540 ) E ;
- axi_req_o[159] + NET axi_req_o[159] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1602300 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 743820 ) E ;
- axi_req_o[158] + NET axi_req_o[158] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1600060 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 751100 ) E ;
- axi_req_o[157] + NET axi_req_o[157] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1861860 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 758380 ) E ;
- axi_req_o[156] + NET axi_req_o[156] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1861020 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 765660 ) E ;
- axi_req_o[155] + NET axi_req_o[155] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1859900 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 772940 ) E ;
- axi_req_o[154] + NET axi_req_o[154] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1858780 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 780220 ) E ;
- axi_req_o[153] + NET axi_req_o[153] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1857660 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 787500 ) E ;
- axi_req_o[152] + NET axi_req_o[152] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1856540 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 794780 ) E ;
- axi_req_o[151] + NET axi_req_o[151] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1855420 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 802060 ) E ;
- axi_req_o[150] + NET axi_req_o[150] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1854300 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 809340 ) E ;
- axi_req_o[149] + NET axi_req_o[149] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1853180 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 816620 ) E ;
- axi_req_o[148] + NET axi_req_o[148] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1852060 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 823900 ) E ;
- axi_req_o[147] + NET axi_req_o[147] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1850940 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 831180 ) E ;
- axi_req_o[146] + NET axi_req_o[146] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1849820 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 838460 ) E ;
- axi_req_o[145] + NET axi_req_o[145] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1848980 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 845740 ) E ;
- axi_req_o[144] + NET axi_req_o[144] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1847860 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 853020 ) E ;
- axi_req_o[143] + NET axi_req_o[143] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1846740 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 860300 ) E ;
- axi_req_o[142] + NET axi_req_o[142] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1845620 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 867580 ) E ;
- axi_req_o[141] + NET axi_req_o[141] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1844500 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 874860 ) E ;
- axi_req_o[140] + NET axi_req_o[140] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1843380 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 882140 ) E ;
- axi_req_o[139] + NET axi_req_o[139] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1842260 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 889420 ) E ;
- axi_req_o[138] + NET axi_req_o[138] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1841140 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 896700 ) E ;
- axi_req_o[137] + NET axi_req_o[137] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1840020 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 903980 ) E ;
- axi_req_o[136] + NET axi_req_o[136] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1838900 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 911260 ) E ;
- axi_req_o[135] + NET axi_req_o[135] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1837780 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 918540 ) E ;
- axi_req_o[134] + NET axi_req_o[134] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1836660 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 925820 ) E ;
- axi_req_o[133] + NET axi_req_o[133] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1835820 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 933100 ) E ;
- axi_req_o[132] + NET axi_req_o[132] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1834700 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 940380 ) E ;
- axi_req_o[131] + NET axi_req_o[131] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1833580 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 947660 ) E ;
- axi_req_o[130] + NET axi_req_o[130] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1832460 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 954940 ) E ;
- axi_req_o[129] + NET axi_req_o[129] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1831340 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 962220 ) E ;
- axi_req_o[128] + NET axi_req_o[128] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1830220 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 969500 ) E ;
- axi_req_o[127] + NET axi_req_o[127] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1829100 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 976780 ) E ;
- axi_req_o[126] + NET axi_req_o[126] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1827980 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 984060 ) E ;
- axi_req_o[125] + NET axi_req_o[125] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1826860 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 991340 ) E ;
- axi_req_o[124] + NET axi_req_o[124] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1825740 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 998620 ) E ;
- axi_req_o[123] + NET axi_req_o[123] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1824620 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1006180 ) E ;
- axi_req_o[122] + NET axi_req_o[122] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1823500 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1013460 ) E ;
- axi_req_o[121] + NET axi_req_o[121] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1822660 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1020740 ) E ;
- axi_req_o[120] + NET axi_req_o[120] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1821540 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1028020 ) E ;
- axi_req_o[119] + NET axi_req_o[119] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1820420 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1035300 ) E ;
- axi_req_o[118] + NET axi_req_o[118] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1819300 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1042580 ) E ;
- axi_req_o[117] + NET axi_req_o[117] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1818180 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1049860 ) E ;
- axi_req_o[116] + NET axi_req_o[116] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1817060 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1057140 ) E ;
- axi_req_o[115] + NET axi_req_o[115] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1815940 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1064420 ) E ;
- axi_req_o[114] + NET axi_req_o[114] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1814820 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1071700 ) E ;
- axi_req_o[113] + NET axi_req_o[113] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1813700 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1078980 ) E ;
- axi_req_o[112] + NET axi_req_o[112] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1812580 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1086260 ) E ;
- axi_req_o[111] + NET axi_req_o[111] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1811460 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1093540 ) E ;
- axi_req_o[110] + NET axi_req_o[110] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1810340 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1100820 ) E ;
- axi_req_o[109] + NET axi_req_o[109] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1809500 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1108100 ) E ;
- axi_req_o[108] + NET axi_req_o[108] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1808380 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1115380 ) E ;
- axi_req_o[107] + NET axi_req_o[107] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1806980 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1122660 ) E ;
- axi_req_o[106] + NET axi_req_o[106] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1806140 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1129940 ) E ;
- axi_req_o[105] + NET axi_req_o[105] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1805020 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1137220 ) E ;
- axi_req_o[104] + NET axi_req_o[104] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1803620 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1144500 ) E ;
- axi_req_o[103] + NET axi_req_o[103] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1802780 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1151780 ) E ;
- axi_req_o[102] + NET axi_req_o[102] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1801660 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1159060 ) E ;
- axi_req_o[101] + NET axi_req_o[101] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1800260 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1166340 ) E ;
- axi_req_o[100] + NET axi_req_o[100] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1799420 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1173620 ) E ;
- axi_req_o[99] + NET axi_req_o[99] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1798300 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1180900 ) E ;
- axi_req_o[98] + NET axi_req_o[98] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1796900 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1188180 ) E ;
- axi_req_o[97] + NET axi_req_o[97] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1796060 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1195460 ) E ;
- axi_req_o[96] + NET axi_req_o[96] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1795220 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1202740 ) E ;
- axi_req_o[95] + NET axi_req_o[95] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1794100 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1210020 ) E ;
- axi_req_o[94] + NET axi_req_o[94] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1792700 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1217300 ) E ;
- axi_req_o[93] + NET axi_req_o[93] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1791860 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1224580 ) E ;
- axi_req_o[92] + NET axi_req_o[92] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1790740 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1231860 ) E ;
- axi_req_o[91] + NET axi_req_o[91] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1789340 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1239140 ) E ;
- axi_req_o[90] + NET axi_req_o[90] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1788500 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1246420 ) E ;
- axi_req_o[89] + NET axi_req_o[89] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1787380 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1253700 ) E ;
- axi_req_o[88] + NET axi_req_o[88] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1785980 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1260980 ) E ;
- axi_req_o[87] + NET axi_req_o[87] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1785140 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1268260 ) E ;
- axi_req_o[86] + NET axi_req_o[86] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1784020 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1275540 ) E ;
- axi_req_o[85] + NET axi_req_o[85] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1783180 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1282820 ) E ;
- axi_req_o[84] + NET axi_req_o[84] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1781780 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1290100 ) E ;
- axi_req_o[83] + NET axi_req_o[83] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1780940 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1297380 ) E ;
- axi_req_o[82] + NET axi_req_o[82] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1779820 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1304940 ) E ;
- axi_req_o[81] + NET axi_req_o[81] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1778420 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1312220 ) E ;
- axi_req_o[80] + NET axi_req_o[80] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1777580 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1319500 ) E ;
- axi_req_o[79] + NET axi_req_o[79] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1776460 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1326780 ) E ;
- axi_req_o[78] + NET axi_req_o[78] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1775060 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1334060 ) E ;
- axi_req_o[77] + NET axi_req_o[77] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1774220 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1341340 ) E ;
- axi_req_o[76] + NET axi_req_o[76] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1773100 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1348620 ) E ;
- axi_req_o[75] + NET axi_req_o[75] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1771700 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1355900 ) E ;
- axi_req_o[74] + NET axi_req_o[74] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1770860 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1363180 ) E ;
- axi_req_o[73] + NET axi_req_o[73] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1770020 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1370460 ) E ;
- axi_req_o[72] + NET axi_req_o[72] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1768900 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1377740 ) E ;
- axi_req_o[71] + NET axi_req_o[71] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1767500 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1385020 ) E ;
- axi_req_o[70] + NET axi_req_o[70] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1766660 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1392300 ) E ;
- axi_req_o[69] + NET axi_req_o[69] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1765540 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1399580 ) E ;
- axi_req_o[68] + NET axi_req_o[68] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1764140 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1406860 ) E ;
- axi_req_o[67] + NET axi_req_o[67] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1763300 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1414140 ) E ;
- axi_req_o[66] + NET axi_req_o[66] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1762180 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1421420 ) E ;
- axi_req_o[65] + NET axi_req_o[65] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1760780 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1428700 ) E ;
- axi_req_o[64] + NET axi_req_o[64] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1759940 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1435980 ) E ;
- axi_req_o[63] + NET axi_req_o[63] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1758820 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1443260 ) E ;
- axi_req_o[62] + NET axi_req_o[62] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1757420 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1450540 ) E ;
- axi_req_o[61] + NET axi_req_o[61] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1756580 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1457820 ) E ;
- axi_req_o[60] + NET axi_req_o[60] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1755740 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1465100 ) E ;
- axi_req_o[59] + NET axi_req_o[59] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1754620 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1472380 ) E ;
- axi_req_o[58] + NET axi_req_o[58] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1753220 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1479660 ) E ;
- axi_req_o[57] + NET axi_req_o[57] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1752380 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1486940 ) E ;
- axi_req_o[56] + NET axi_req_o[56] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1751260 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1494220 ) E ;
- axi_req_o[55] + NET axi_req_o[55] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1749860 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1501500 ) E ;
- axi_req_o[54] + NET axi_req_o[54] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1749020 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1508780 ) E ;
- axi_req_o[53] + NET axi_req_o[53] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1747900 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1516060 ) E ;
- axi_req_o[52] + NET axi_req_o[52] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1746500 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1523340 ) E ;
- axi_req_o[51] + NET axi_req_o[51] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1745660 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1530620 ) E ;
- axi_req_o[50] + NET axi_req_o[50] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1744540 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1537900 ) E ;
- axi_req_o[49] + NET axi_req_o[49] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1743700 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1545180 ) E ;
- axi_req_o[48] + NET axi_req_o[48] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1742300 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1552460 ) E ;
- axi_req_o[47] + NET axi_req_o[47] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1741460 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1559740 ) E ;
- axi_req_o[46] + NET axi_req_o[46] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1740340 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1567020 ) E ;
- axi_req_o[45] + NET axi_req_o[45] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1738940 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1574300 ) E ;
- axi_req_o[44] + NET axi_req_o[44] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1738100 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1581580 ) E ;
- axi_req_o[43] + NET axi_req_o[43] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1736980 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1588860 ) E ;
- axi_req_o[42] + NET axi_req_o[42] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1735580 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1596140 ) E ;
- axi_req_o[41] + NET axi_req_o[41] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1734740 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1603700 ) E ;
- axi_req_o[40] + NET axi_req_o[40] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1733620 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1610980 ) E ;
- axi_req_o[39] + NET axi_req_o[39] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1732220 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1618260 ) E ;
- axi_req_o[38] + NET axi_req_o[38] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1731380 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1625540 ) E ;
- axi_req_o[37] + NET axi_req_o[37] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1730540 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1632820 ) E ;
- axi_req_o[36] + NET axi_req_o[36] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1729420 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1640100 ) E ;
- axi_req_o[35] + NET axi_req_o[35] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1728020 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1647380 ) E ;
- axi_req_o[34] + NET axi_req_o[34] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1727180 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1654660 ) E ;
- axi_req_o[33] + NET axi_req_o[33] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1726060 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1661940 ) E ;
- axi_req_o[32] + NET axi_req_o[32] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1724660 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1669220 ) E ;
- axi_req_o[31] + NET axi_req_o[31] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1723820 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1676500 ) E ;
- axi_req_o[30] + NET axi_req_o[30] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1722700 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1683780 ) E ;
- axi_req_o[29] + NET axi_req_o[29] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1721300 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1691060 ) E ;
- axi_req_o[28] + NET axi_req_o[28] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1720460 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1698340 ) E ;
- axi_req_o[27] + NET axi_req_o[27] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1719340 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1705620 ) E ;
- axi_req_o[26] + NET axi_req_o[26] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1717940 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1712900 ) E ;
- axi_req_o[25] + NET axi_req_o[25] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1717100 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1720180 ) E ;
- axi_req_o[24] + NET axi_req_o[24] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1716260 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1727460 ) E ;
- axi_req_o[23] + NET axi_req_o[23] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1715140 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1735020 ) E ;
- axi_req_o[22] + NET axi_req_o[22] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1713740 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1742020 ) E ;
- axi_req_o[21] + NET axi_req_o[21] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1712900 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1749300 ) E ;
- axi_req_o[20] + NET axi_req_o[20] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1711780 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1756580 ) E ;
- axi_req_o[19] + NET axi_req_o[19] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1710380 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1763860 ) E ;
- axi_req_o[18] + NET axi_req_o[18] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1709540 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1771140 ) E ;
- axi_req_o[17] + NET axi_req_o[17] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1708420 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1778420 ) E ;
- axi_req_o[16] + NET axi_req_o[16] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1707020 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1785700 ) E ;
- axi_req_o[15] + NET axi_req_o[15] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1706180 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1792980 ) E ;
- axi_req_o[14] + NET axi_req_o[14] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1705060 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1800260 ) E ;
- axi_req_o[13] + NET axi_req_o[13] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1704220 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1807540 ) E ;
- axi_req_o[12] + NET axi_req_o[12] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1702820 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1814820 ) E ;
- axi_req_o[11] + NET axi_req_o[11] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1701980 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1822100 ) E ;
- axi_req_o[10] + NET axi_req_o[10] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1700860 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1829380 ) E ;
- axi_req_o[9] + NET axi_req_o[9] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1699460 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1836660 ) E ;
- axi_req_o[8] + NET axi_req_o[8] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1698620 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1843940 ) E ;
- axi_req_o[7] + NET axi_req_o[7] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1697500 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1851500 ) E ;
- axi_req_o[6] + NET axi_req_o[6] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1696100 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1858220 ) E ;
- axi_req_o[5] + NET axi_req_o[5] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1695260 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1865780 ) E ;
- axi_req_o[4] + NET axi_req_o[4] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1694140 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1873060 ) E ;
- axi_req_o[3] + NET axi_req_o[3] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1693020 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1880340 ) E ;
- axi_req_o[2] + NET axi_req_o[2] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1691900 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1887620 ) E ;
- axi_req_o[1] + NET axi_req_o[1] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1691060 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1894900 ) E ;
- axi_req_o[0] + NET axi_req_o[0] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1689940 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1902460 ) E ;
- axi_resp_i[81] + NET axi_resp_i[81] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1688820 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1909740 ) E ;
- axi_resp_i[80] + NET axi_resp_i[80] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1687700 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1917020 ) E ;
- axi_resp_i[79] + NET axi_resp_i[79] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1686580 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1924300 ) E ;
- axi_resp_i[78] + NET axi_resp_i[78] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1685460 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1931580 ) E ;
- axi_resp_i[77] + NET axi_resp_i[77] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1684340 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1938860 ) E ;
- axi_resp_i[76] + NET axi_resp_i[76] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1683220 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1946140 ) E ;
- axi_resp_i[75] + NET axi_resp_i[75] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1682100 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1953420 ) E ;
- axi_resp_i[74] + NET axi_resp_i[74] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1680980 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1960700 ) E ;
- axi_resp_i[73] + NET axi_resp_i[73] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1679860 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1967980 ) E ;
- axi_resp_i[72] + NET axi_resp_i[72] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1678740 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1975260 ) E ;
- axi_resp_i[71] + NET axi_resp_i[71] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1677900 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1982540 ) E ;
- axi_resp_i[70] + NET axi_resp_i[70] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1676780 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1989820 ) E ;
- axi_resp_i[69] + NET axi_resp_i[69] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1675660 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1997100 ) E ;
- axi_resp_i[68] + NET axi_resp_i[68] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1674540 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2004380 ) E ;
- axi_resp_i[67] + NET axi_resp_i[67] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1673420 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2011660 ) E ;
- axi_resp_i[66] + NET axi_resp_i[66] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1672300 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2018940 ) E ;
- axi_resp_i[65] + NET axi_resp_i[65] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1671180 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2026220 ) E ;
- axi_resp_i[64] + NET axi_resp_i[64] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1670060 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2033500 ) E ;
- axi_resp_i[63] + NET axi_resp_i[63] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1668940 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2040780 ) E ;
- axi_resp_i[62] + NET axi_resp_i[62] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1667820 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2048060 ) E ;
- axi_resp_i[61] + NET axi_resp_i[61] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1666700 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2055340 ) E ;
- axi_resp_i[60] + NET axi_resp_i[60] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1665580 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2062620 ) E ;
- axi_resp_i[59] + NET axi_resp_i[59] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1664740 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2069900 ) E ;
- axi_resp_i[58] + NET axi_resp_i[58] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1663620 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2077180 ) E ;
- axi_resp_i[57] + NET axi_resp_i[57] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1662500 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2084460 ) E ;
- axi_resp_i[56] + NET axi_resp_i[56] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1661380 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2091740 ) E ;
- axi_resp_i[55] + NET axi_resp_i[55] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1660260 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2099020 ) E ;
- axi_resp_i[54] + NET axi_resp_i[54] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1659140 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2106300 ) E ;
- axi_resp_i[53] + NET axi_resp_i[53] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1658020 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2113580 ) E ;
- axi_resp_i[52] + NET axi_resp_i[52] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1656900 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2120860 ) E ;
- axi_resp_i[51] + NET axi_resp_i[51] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1655780 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2128140 ) E ;
- axi_resp_i[50] + NET axi_resp_i[50] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1654660 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2135420 ) E ;
- axi_resp_i[49] + NET axi_resp_i[49] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1653540 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2142700 ) E ;
- axi_resp_i[48] + NET axi_resp_i[48] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1652420 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2149980 ) E ;
- axi_resp_i[47] + NET axi_resp_i[47] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1651580 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2157260 ) E ;
- axi_resp_i[46] + NET axi_resp_i[46] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1650460 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2164540 ) E ;
- axi_resp_i[45] + NET axi_resp_i[45] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1649340 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2171820 ) E ;
- axi_resp_i[44] + NET axi_resp_i[44] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1648220 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2179100 ) E ;
- axi_resp_i[43] + NET axi_resp_i[43] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1647100 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2186380 ) E ;
- axi_resp_i[42] + NET axi_resp_i[42] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1645980 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2193660 ) E ;
- axi_resp_i[41] + NET axi_resp_i[41] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1644860 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2201220 ) E ;
- axi_resp_i[40] + NET axi_resp_i[40] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1643740 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2208500 ) E ;
- axi_resp_i[39] + NET axi_resp_i[39] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1642620 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2215780 ) E ;
- axi_resp_i[38] + NET axi_resp_i[38] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1641500 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2223060 ) E ;
- axi_resp_i[37] + NET axi_resp_i[37] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1640380 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2230340 ) E ;
- axi_resp_i[36] + NET axi_resp_i[36] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1639260 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2237620 ) E ;
- axi_resp_i[35] + NET axi_resp_i[35] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1638420 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2244900 ) E ;
- axi_resp_i[34] + NET axi_resp_i[34] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1637300 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2252180 ) E ;
- axi_resp_i[33] + NET axi_resp_i[33] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1636180 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2259460 ) E ;
- axi_resp_i[32] + NET axi_resp_i[32] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1635060 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2266740 ) E ;
- axi_resp_i[31] + NET axi_resp_i[31] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1633940 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2274020 ) E ;
- axi_resp_i[30] + NET axi_resp_i[30] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1632820 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2281300 ) E ;
- axi_resp_i[29] + NET axi_resp_i[29] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1631700 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2288580 ) E ;
- axi_resp_i[28] + NET axi_resp_i[28] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1630580 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2295860 ) E ;
- axi_resp_i[27] + NET axi_resp_i[27] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1629460 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2303140 ) E ;
- axi_resp_i[26] + NET axi_resp_i[26] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1628340 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2310420 ) E ;
- axi_resp_i[25] + NET axi_resp_i[25] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1627220 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2317700 ) E ;
- axi_resp_i[24] + NET axi_resp_i[24] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1626100 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2324980 ) E ;
- axi_resp_i[23] + NET axi_resp_i[23] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1625260 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2332260 ) E ;
- axi_resp_i[22] + NET axi_resp_i[22] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1624140 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2339540 ) E ;
- axi_resp_i[21] + NET axi_resp_i[21] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1623020 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2346820 ) E ;
- axi_resp_i[20] + NET axi_resp_i[20] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1621900 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2354100 ) E ;
- axi_resp_i[19] + NET axi_resp_i[19] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1620780 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2361380 ) E ;
- axi_resp_i[18] + NET axi_resp_i[18] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1619660 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2368660 ) E ;
- axi_resp_i[17] + NET axi_resp_i[17] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1618540 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2375940 ) E ;
- axi_resp_i[16] + NET axi_resp_i[16] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1617420 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2383220 ) E ;
- axi_resp_i[15] + NET axi_resp_i[15] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1616300 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2390500 ) E ;
- axi_resp_i[14] + NET axi_resp_i[14] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1615180 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2397780 ) E ;
- axi_resp_i[13] + NET axi_resp_i[13] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1614060 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2405060 ) E ;
- axi_resp_i[12] + NET axi_resp_i[12] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1612940 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2412340 ) E ;
- axi_resp_i[11] + NET axi_resp_i[11] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1612100 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2419620 ) E ;
- axi_resp_i[10] + NET axi_resp_i[10] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1610980 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2426900 ) E ;
- axi_resp_i[9] + NET axi_resp_i[9] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1609860 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2434180 ) E ;
- axi_resp_i[8] + NET axi_resp_i[8] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1608740 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2441460 ) E ;
- axi_resp_i[7] + NET axi_resp_i[7] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1607620 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2448740 ) E ;
- axi_resp_i[6] + NET axi_resp_i[6] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1606500 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2456020 ) E ;
- axi_resp_i[5] + NET axi_resp_i[5] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1605380 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2463300 ) E ;
- axi_resp_i[4] + NET axi_resp_i[4] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1604260 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2470580 ) E ;
- axi_resp_i[3] + NET axi_resp_i[3] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1603140 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2477860 ) E ;
- axi_resp_i[2] + NET axi_resp_i[2] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1602020 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2485140 ) E ;
- axi_resp_i[1] + NET axi_resp_i[1] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1600900 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2492420 ) E ;
- axi_resp_i[0] + NET axi_resp_i[0] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1600060 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2499700 ) E ;
END PINS
SPECIALNETS 2 ;
- VDD ( * VDD )
+ USE POWER
;
- VSS ( * VSS )
+ USE GROUND
;
END SPECIALNETS
END DESIGN
......@@ -2737,1502 +2737,1493 @@ COMPONENTS 133 ;
;
END COMPONENTS
PINS 495 ;
- clk_i + NET clk_i + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1748460 ) E ;
+ FIXED ( 0 700140 ) E ;
- rst_ni + NET rst_ni + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1806420 ) E ;
+ FIXED ( 0 707420 ) E ;
- boot_addr_i[63] + NET boot_addr_i[63] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1747620 ) E ;
+ FIXED ( 0 714700 ) E ;
- boot_addr_i[62] + NET boot_addr_i[62] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1746780 ) E ;
+ FIXED ( 0 721980 ) E ;
- boot_addr_i[61] + NET boot_addr_i[61] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1745940 ) E ;
+ FIXED ( 0 729260 ) E ;
- boot_addr_i[60] + NET boot_addr_i[60] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1745100 ) E ;
+ FIXED ( 0 736540 ) E ;
- boot_addr_i[59] + NET boot_addr_i[59] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1744260 ) E ;
+ FIXED ( 0 743820 ) E ;
- boot_addr_i[58] + NET boot_addr_i[58] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1743420 ) E ;
+ FIXED ( 0 751100 ) E ;
- boot_addr_i[57] + NET boot_addr_i[57] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1742580 ) E ;
+ FIXED ( 0 758660 ) E ;
- boot_addr_i[56] + NET boot_addr_i[56] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1741740 ) E ;
+ FIXED ( 0 765940 ) E ;
- boot_addr_i[55] + NET boot_addr_i[55] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1740900 ) E ;
+ FIXED ( 0 773220 ) E ;
- boot_addr_i[54] + NET boot_addr_i[54] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1740060 ) E ;
+ FIXED ( 0 780500 ) E ;
- boot_addr_i[53] + NET boot_addr_i[53] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1739220 ) E ;
+ FIXED ( 0 787780 ) E ;
- boot_addr_i[52] + NET boot_addr_i[52] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1738380 ) E ;
+ FIXED ( 0 795060 ) E ;
- boot_addr_i[51] + NET boot_addr_i[51] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1737540 ) E ;
+ FIXED ( 0 802340 ) E ;
- boot_addr_i[50] + NET boot_addr_i[50] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1736700 ) E ;
+ FIXED ( 0 809620 ) E ;
- boot_addr_i[49] + NET boot_addr_i[49] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1735860 ) E ;
+ FIXED ( 0 817180 ) E ;
- boot_addr_i[48] + NET boot_addr_i[48] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1735020 ) E ;
+ FIXED ( 0 824460 ) E ;
- boot_addr_i[47] + NET boot_addr_i[47] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1734180 ) E ;
+ FIXED ( 0 831740 ) E ;
- boot_addr_i[46] + NET boot_addr_i[46] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1733340 ) E ;
+ FIXED ( 0 839020 ) E ;
- boot_addr_i[45] + NET boot_addr_i[45] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1732500 ) E ;
+ FIXED ( 0 846300 ) E ;
- boot_addr_i[44] + NET boot_addr_i[44] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1731660 ) E ;
+ FIXED ( 0 853580 ) E ;
- boot_addr_i[43] + NET boot_addr_i[43] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1730820 ) E ;
+ FIXED ( 0 860860 ) E ;
- boot_addr_i[42] + NET boot_addr_i[42] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1729980 ) E ;
+ FIXED ( 0 868140 ) E ;
- boot_addr_i[41] + NET boot_addr_i[41] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1729140 ) E ;
+ FIXED ( 0 875700 ) E ;
- boot_addr_i[40] + NET boot_addr_i[40] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1728300 ) E ;
+ FIXED ( 0 882980 ) E ;
- boot_addr_i[39] + NET boot_addr_i[39] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1727460 ) E ;
+ FIXED ( 0 890260 ) E ;
- boot_addr_i[38] + NET boot_addr_i[38] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1726620 ) E ;
+ FIXED ( 0 897540 ) E ;
- boot_addr_i[37] + NET boot_addr_i[37] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1725780 ) E ;
+ FIXED ( 0 904820 ) E ;
- boot_addr_i[36] + NET boot_addr_i[36] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1724940 ) E ;
+ FIXED ( 0 912100 ) E ;
- boot_addr_i[35] + NET boot_addr_i[35] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1724100 ) E ;
+ FIXED ( 0 919380 ) E ;
- boot_addr_i[34] + NET boot_addr_i[34] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1723260 ) E ;
+ FIXED ( 0 926940 ) E ;
- boot_addr_i[33] + NET boot_addr_i[33] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1722420 ) E ;
+ FIXED ( 0 934220 ) E ;
- boot_addr_i[32] + NET boot_addr_i[32] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1721580 ) E ;
+ FIXED ( 0 941500 ) E ;
- boot_addr_i[31] + NET boot_addr_i[31] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1720740 ) E ;
+ FIXED ( 0 948780 ) E ;
- boot_addr_i[30] + NET boot_addr_i[30] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1719900 ) E ;
+ FIXED ( 0 956060 ) E ;
- boot_addr_i[29] + NET boot_addr_i[29] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1719060 ) E ;
+ FIXED ( 0 963340 ) E ;
- boot_addr_i[28] + NET boot_addr_i[28] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1718220 ) E ;
+ FIXED ( 0 970620 ) E ;
- boot_addr_i[27] + NET boot_addr_i[27] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1717380 ) E ;
+ FIXED ( 0 977900 ) E ;
- boot_addr_i[26] + NET boot_addr_i[26] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1716540 ) E ;
+ FIXED ( 0 985460 ) E ;
- boot_addr_i[25] + NET boot_addr_i[25] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1715700 ) E ;
+ FIXED ( 0 992740 ) E ;
- boot_addr_i[24] + NET boot_addr_i[24] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1714860 ) E ;
+ FIXED ( 0 1000020 ) E ;
- boot_addr_i[23] + NET boot_addr_i[23] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1714020 ) E ;
+ FIXED ( 0 1007300 ) E ;
- boot_addr_i[22] + NET boot_addr_i[22] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1713180 ) E ;
+ FIXED ( 0 1014580 ) E ;
- boot_addr_i[21] + NET boot_addr_i[21] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1712340 ) E ;
+ FIXED ( 0 1021860 ) E ;
- boot_addr_i[20] + NET boot_addr_i[20] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1711500 ) E ;
+ FIXED ( 0 1029140 ) E ;
- boot_addr_i[19] + NET boot_addr_i[19] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1710660 ) E ;
+ FIXED ( 0 1036420 ) E ;
- boot_addr_i[18] + NET boot_addr_i[18] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1709820 ) E ;
+ FIXED ( 0 1043980 ) E ;
- boot_addr_i[17] + NET boot_addr_i[17] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1708980 ) E ;
+ FIXED ( 0 1051260 ) E ;
- boot_addr_i[16] + NET boot_addr_i[16] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1708140 ) E ;
+ FIXED ( 0 1058540 ) E ;
- boot_addr_i[15] + NET boot_addr_i[15] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1707300 ) E ;
+ FIXED ( 0 1065820 ) E ;
- boot_addr_i[14] + NET boot_addr_i[14] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1706460 ) E ;
+ FIXED ( 0 1073100 ) E ;
- boot_addr_i[13] + NET boot_addr_i[13] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1705620 ) E ;
+ FIXED ( 0 1080380 ) E ;
- boot_addr_i[12] + NET boot_addr_i[12] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1704780 ) E ;
+ FIXED ( 0 1087660 ) E ;
- boot_addr_i[11] + NET boot_addr_i[11] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1703940 ) E ;
+ FIXED ( 0 1095220 ) E ;
- boot_addr_i[10] + NET boot_addr_i[10] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1703100 ) E ;
+ FIXED ( 0 1102500 ) E ;
- boot_addr_i[9] + NET boot_addr_i[9] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1702260 ) E ;
+ FIXED ( 0 1109780 ) E ;
- boot_addr_i[8] + NET boot_addr_i[8] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1701420 ) E ;
+ FIXED ( 0 1117060 ) E ;
- boot_addr_i[7] + NET boot_addr_i[7] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1700580 ) E ;
+ FIXED ( 0 1124340 ) E ;
- boot_addr_i[6] + NET boot_addr_i[6] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1699740 ) E ;
+ FIXED ( 0 1131620 ) E ;
- boot_addr_i[5] + NET boot_addr_i[5] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1698900 ) E ;
+ FIXED ( 0 1138900 ) E ;
- boot_addr_i[4] + NET boot_addr_i[4] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1698060 ) E ;
+ FIXED ( 0 1146180 ) E ;
- boot_addr_i[3] + NET boot_addr_i[3] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1697220 ) E ;
+ FIXED ( 0 1153740 ) E ;
- boot_addr_i[2] + NET boot_addr_i[2] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1696380 ) E ;
+ FIXED ( 0 1161020 ) E ;
- boot_addr_i[1] + NET boot_addr_i[1] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1695540 ) E ;
+ FIXED ( 0 1168300 ) E ;
- boot_addr_i[0] + NET boot_addr_i[0] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1694700 ) E ;
+ FIXED ( 0 1175580 ) E ;
- hart_id_i[63] + NET hart_id_i[63] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1803060 ) E ;
+ FIXED ( 0 1182860 ) E ;
- hart_id_i[62] + NET hart_id_i[62] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1802220 ) E ;
+ FIXED ( 0 1190140 ) E ;
- hart_id_i[61] + NET hart_id_i[61] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1801380 ) E ;
+ FIXED ( 0 1197420 ) E ;
- hart_id_i[60] + NET hart_id_i[60] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1800540 ) E ;
+ FIXED ( 0 1204700 ) E ;
- hart_id_i[59] + NET hart_id_i[59] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1799700 ) E ;
+ FIXED ( 0 1212260 ) E ;
- hart_id_i[58] + NET hart_id_i[58] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1798860 ) E ;
+ FIXED ( 0 1219540 ) E ;
- hart_id_i[57] + NET hart_id_i[57] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1798020 ) E ;
+ FIXED ( 0 1226820 ) E ;
- hart_id_i[56] + NET hart_id_i[56] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1797180 ) E ;
+ FIXED ( 0 1234100 ) E ;
- hart_id_i[55] + NET hart_id_i[55] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1796340 ) E ;
+ FIXED ( 0 1241380 ) E ;
- hart_id_i[54] + NET hart_id_i[54] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1795500 ) E ;
+ FIXED ( 0 1248660 ) E ;
- hart_id_i[53] + NET hart_id_i[53] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1794660 ) E ;
+ FIXED ( 0 1255940 ) E ;
- hart_id_i[52] + NET hart_id_i[52] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1793820 ) E ;
+ FIXED ( 0 1263500 ) E ;
- hart_id_i[51] + NET hart_id_i[51] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1792980 ) E ;
+ FIXED ( 0 1270780 ) E ;
- hart_id_i[50] + NET hart_id_i[50] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1792140 ) E ;
+ FIXED ( 0 1278060 ) E ;
- hart_id_i[49] + NET hart_id_i[49] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1791300 ) E ;
+ FIXED ( 0 1285340 ) E ;
- hart_id_i[48] + NET hart_id_i[48] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1790460 ) E ;
+ FIXED ( 0 1292620 ) E ;
- hart_id_i[47] + NET hart_id_i[47] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1789620 ) E ;
+ FIXED ( 0 1299900 ) E ;
- hart_id_i[46] + NET hart_id_i[46] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1788780 ) E ;
+ FIXED ( 0 1307180 ) E ;
- hart_id_i[45] + NET hart_id_i[45] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1787940 ) E ;
+ FIXED ( 0 1314460 ) E ;
- hart_id_i[44] + NET hart_id_i[44] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1787100 ) E ;
+ FIXED ( 0 1322020 ) E ;
- hart_id_i[43] + NET hart_id_i[43] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1786260 ) E ;
+ FIXED ( 0 1329300 ) E ;
- hart_id_i[42] + NET hart_id_i[42] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1785420 ) E ;
+ FIXED ( 0 1336580 ) E ;
- hart_id_i[41] + NET hart_id_i[41] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1784580 ) E ;
+ FIXED ( 0 1343860 ) E ;
- hart_id_i[40] + NET hart_id_i[40] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1783740 ) E ;
+ FIXED ( 0 1351140 ) E ;
- hart_id_i[39] + NET hart_id_i[39] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1782900 ) E ;
+ FIXED ( 0 1358420 ) E ;
- hart_id_i[38] + NET hart_id_i[38] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1782060 ) E ;
+ FIXED ( 0 1365700 ) E ;
- hart_id_i[37] + NET hart_id_i[37] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1781220 ) E ;
+ FIXED ( 0 1372980 ) E ;
- hart_id_i[36] + NET hart_id_i[36] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1780380 ) E ;
+ FIXED ( 0 1380540 ) E ;
- hart_id_i[35] + NET hart_id_i[35] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1779540 ) E ;
+ FIXED ( 0 1387820 ) E ;
- hart_id_i[34] + NET hart_id_i[34] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1778700 ) E ;
+ FIXED ( 0 1395100 ) E ;
- hart_id_i[33] + NET hart_id_i[33] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1777860 ) E ;
+ FIXED ( 0 1402380 ) E ;
- hart_id_i[32] + NET hart_id_i[32] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1777020 ) E ;
+ FIXED ( 0 1409660 ) E ;
- hart_id_i[31] + NET hart_id_i[31] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1776180 ) E ;
+ FIXED ( 0 1416940 ) E ;
- hart_id_i[30] + NET hart_id_i[30] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1775340 ) E ;
+ FIXED ( 0 1424220 ) E ;
- hart_id_i[29] + NET hart_id_i[29] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1774500 ) E ;
+ FIXED ( 0 1431780 ) E ;
- hart_id_i[28] + NET hart_id_i[28] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1773660 ) E ;
+ FIXED ( 0 1439060 ) E ;
- hart_id_i[27] + NET hart_id_i[27] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1772820 ) E ;
+ FIXED ( 0 1446340 ) E ;
- hart_id_i[26] + NET hart_id_i[26] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1771980 ) E ;
+ FIXED ( 0 1453620 ) E ;
- hart_id_i[25] + NET hart_id_i[25] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1771140 ) E ;
+ FIXED ( 0 1460900 ) E ;
- hart_id_i[24] + NET hart_id_i[24] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1770300 ) E ;
+ FIXED ( 0 1468180 ) E ;
- hart_id_i[23] + NET hart_id_i[23] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1769460 ) E ;
+ FIXED ( 0 1475460 ) E ;
- hart_id_i[22] + NET hart_id_i[22] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1768620 ) E ;
+ FIXED ( 0 1482740 ) E ;
- hart_id_i[21] + NET hart_id_i[21] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1767780 ) E ;
+ FIXED ( 0 1490300 ) E ;
- hart_id_i[20] + NET hart_id_i[20] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1766940 ) E ;
+ FIXED ( 0 1497580 ) E ;
- hart_id_i[19] + NET hart_id_i[19] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1766100 ) E ;
+ FIXED ( 0 1504860 ) E ;
- hart_id_i[18] + NET hart_id_i[18] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1765260 ) E ;
+ FIXED ( 0 1512140 ) E ;
- hart_id_i[17] + NET hart_id_i[17] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1764420 ) E ;
+ FIXED ( 0 1519420 ) E ;
- hart_id_i[16] + NET hart_id_i[16] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1763580 ) E ;
+ FIXED ( 0 1526700 ) E ;
- hart_id_i[15] + NET hart_id_i[15] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1762740 ) E ;
+ FIXED ( 0 1533980 ) E ;
- hart_id_i[14] + NET hart_id_i[14] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1761900 ) E ;
+ FIXED ( 0 1541260 ) E ;
- hart_id_i[13] + NET hart_id_i[13] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1761060 ) E ;
+ FIXED ( 0 1548820 ) E ;
- hart_id_i[12] + NET hart_id_i[12] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1760220 ) E ;
+ FIXED ( 0 1556100 ) E ;
- hart_id_i[11] + NET hart_id_i[11] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1759380 ) E ;
+ FIXED ( 0 1563380 ) E ;
- hart_id_i[10] + NET hart_id_i[10] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1758540 ) E ;
+ FIXED ( 0 1570660 ) E ;
- hart_id_i[9] + NET hart_id_i[9] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1757700 ) E ;
+ FIXED ( 0 1577940 ) E ;
- hart_id_i[8] + NET hart_id_i[8] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1756860 ) E ;
+ FIXED ( 0 1585220 ) E ;
- hart_id_i[7] + NET hart_id_i[7] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1756020 ) E ;
+ FIXED ( 0 1592500 ) E ;
- hart_id_i[6] + NET hart_id_i[6] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1755180 ) E ;
+ FIXED ( 0 1599780 ) E ;
- hart_id_i[5] + NET hart_id_i[5] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1754340 ) E ;
+ FIXED ( 0 1607340 ) E ;
- hart_id_i[4] + NET hart_id_i[4] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1753500 ) E ;
+ FIXED ( 0 1614620 ) E ;
- hart_id_i[3] + NET hart_id_i[3] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1752660 ) E ;
+ FIXED ( 0 1621900 ) E ;
- hart_id_i[2] + NET hart_id_i[2] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1751820 ) E ;
+ FIXED ( 0 1629180 ) E ;
- hart_id_i[1] + NET hart_id_i[1] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1750980 ) E ;
+ FIXED ( 0 1636460 ) E ;
- hart_id_i[0] + NET hart_id_i[0] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1750140 ) E ;
+ FIXED ( 0 1643740 ) E ;
- irq_i[1] + NET irq_i[1] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1805580 ) E ;
+ FIXED ( 0 1651020 ) E ;
- irq_i[0] + NET irq_i[0] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1804740 ) E ;
+ FIXED ( 0 1658580 ) E ;
- ipi_i + NET ipi_i + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1803900 ) E ;
+ FIXED ( 0 1665860 ) E ;
- time_irq_i + NET time_irq_i + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1807260 ) E ;
+ FIXED ( 0 1673140 ) E ;
- debug_req_i + NET debug_req_i + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1749300 ) E ;
+ FIXED ( 0 1680420 ) E ;
- axi_req_o[277] + NET axi_req_o[277] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1899100 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1687700 ) E ;
- axi_req_o[276] + NET axi_req_o[276] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1896860 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1694980 ) E ;
- axi_req_o[275] + NET axi_req_o[275] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1894620 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1702260 ) E ;
- axi_req_o[274] + NET axi_req_o[274] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1891820 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1709540 ) E ;
- axi_req_o[273] + NET axi_req_o[273] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1889580 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1717100 ) E ;
- axi_req_o[272] + NET axi_req_o[272] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1886780 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1724380 ) E ;
- axi_req_o[271] + NET axi_req_o[271] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1884540 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1731660 ) E ;
- axi_req_o[270] + NET axi_req_o[270] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1881740 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1738940 ) E ;
- axi_req_o[269] + NET axi_req_o[269] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1879500 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1746220 ) E ;
- axi_req_o[268] + NET axi_req_o[268] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1876700 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1753500 ) E ;
- axi_req_o[267] + NET axi_req_o[267] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1874460 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1760780 ) E ;
- axi_req_o[266] + NET axi_req_o[266] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1871660 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1768060 ) E ;
- axi_req_o[265] + NET axi_req_o[265] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1869420 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1775620 ) E ;
- axi_req_o[264] + NET axi_req_o[264] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1866620 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1782900 ) E ;
- axi_req_o[263] + NET axi_req_o[263] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1864380 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1790180 ) E ;
- axi_req_o[262] + NET axi_req_o[262] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1861580 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1797460 ) E ;
- axi_req_o[261] + NET axi_req_o[261] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1859340 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1804740 ) E ;
- axi_req_o[260] + NET axi_req_o[260] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1856540 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1812020 ) E ;
- axi_req_o[259] + NET axi_req_o[259] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1854300 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1819300 ) E ;
- axi_req_o[258] + NET axi_req_o[258] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1851500 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1826860 ) E ;
- axi_req_o[257] + NET axi_req_o[257] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1849260 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1834140 ) E ;
- axi_req_o[256] + NET axi_req_o[256] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1846460 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1841420 ) E ;
- axi_req_o[255] + NET axi_req_o[255] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1844220 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1848700 ) E ;
- axi_req_o[254] + NET axi_req_o[254] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1841420 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1855980 ) E ;
- axi_req_o[253] + NET axi_req_o[253] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1839180 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1863260 ) E ;
- axi_req_o[252] + NET axi_req_o[252] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1836380 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1870540 ) E ;
- axi_req_o[251] + NET axi_req_o[251] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1834140 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1877820 ) E ;
- axi_req_o[250] + NET axi_req_o[250] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1831340 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1885380 ) E ;
- axi_req_o[249] + NET axi_req_o[249] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1829100 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1892660 ) E ;
- axi_req_o[248] + NET axi_req_o[248] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1826300 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1899940 ) E ;
- axi_req_o[247] + NET axi_req_o[247] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1824060 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1907220 ) E ;
- axi_req_o[246] + NET axi_req_o[246] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1821260 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1914500 ) E ;
- axi_req_o[245] + NET axi_req_o[245] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1819020 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1921780 ) E ;
- axi_req_o[244] + NET axi_req_o[244] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1816220 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1929060 ) E ;
- axi_req_o[243] + NET axi_req_o[243] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1813980 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1936340 ) E ;
- axi_req_o[242] + NET axi_req_o[242] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1811180 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1943900 ) E ;
- axi_req_o[241] + NET axi_req_o[241] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1808940 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1951180 ) E ;
- axi_req_o[240] + NET axi_req_o[240] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1806140 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1958460 ) E ;
- axi_req_o[239] + NET axi_req_o[239] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1803900 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1965740 ) E ;
- axi_req_o[238] + NET axi_req_o[238] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1801100 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1973020 ) E ;
- axi_req_o[237] + NET axi_req_o[237] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1798860 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1980300 ) E ;
- axi_req_o[236] + NET axi_req_o[236] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1796060 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1987580 ) E ;
- axi_req_o[235] + NET axi_req_o[235] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1793820 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1995140 ) E ;
- axi_req_o[234] + NET axi_req_o[234] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1791020 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2002420 ) E ;
- axi_req_o[233] + NET axi_req_o[233] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1788780 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2009700 ) E ;
- axi_req_o[232] + NET axi_req_o[232] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1785980 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2016980 ) E ;
- axi_req_o[231] + NET axi_req_o[231] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1783740 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2024260 ) E ;
- axi_req_o[230] + NET axi_req_o[230] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1780940 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2031540 ) E ;
- axi_req_o[229] + NET axi_req_o[229] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1778700 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2038820 ) E ;
- axi_req_o[228] + NET axi_req_o[228] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1775900 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2046100 ) E ;
- axi_req_o[227] + NET axi_req_o[227] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1773660 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2053660 ) E ;
- axi_req_o[226] + NET axi_req_o[226] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1770860 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2060940 ) E ;
- axi_req_o[225] + NET axi_req_o[225] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1768620 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2068220 ) E ;
- axi_req_o[224] + NET axi_req_o[224] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1765820 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2075500 ) E ;
- axi_req_o[223] + NET axi_req_o[223] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1763580 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2082780 ) E ;
- axi_req_o[222] + NET axi_req_o[222] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1760780 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2090060 ) E ;
- axi_req_o[221] + NET axi_req_o[221] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1758540 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2097340 ) E ;
- axi_req_o[220] + NET axi_req_o[220] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1755740 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2104620 ) E ;
- axi_req_o[219] + NET axi_req_o[219] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1753500 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2112180 ) E ;
- axi_req_o[218] + NET axi_req_o[218] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1750700 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2119460 ) E ;
- axi_req_o[217] + NET axi_req_o[217] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1748460 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2126740 ) E ;
- axi_req_o[216] + NET axi_req_o[216] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1745660 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2134020 ) E ;
- axi_req_o[215] + NET axi_req_o[215] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1743420 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2141300 ) E ;
- axi_req_o[214] + NET axi_req_o[214] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1740620 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2148580 ) E ;
- axi_req_o[213] + NET axi_req_o[213] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1738380 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2155860 ) E ;
- axi_req_o[212] + NET axi_req_o[212] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1735580 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2163420 ) E ;
- axi_req_o[211] + NET axi_req_o[211] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1733340 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2170700 ) E ;
- axi_req_o[210] + NET axi_req_o[210] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1730540 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2177980 ) E ;
- axi_req_o[209] + NET axi_req_o[209] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1728300 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2185260 ) E ;
- axi_req_o[208] + NET axi_req_o[208] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1725500 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2192540 ) E ;
- axi_req_o[207] + NET axi_req_o[207] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1723260 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2199820 ) E ;
- axi_req_o[206] + NET axi_req_o[206] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1720460 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2207100 ) E ;
- axi_req_o[205] + NET axi_req_o[205] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1718220 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2214380 ) E ;
- axi_req_o[204] + NET axi_req_o[204] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1715420 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2221940 ) E ;
- axi_req_o[203] + NET axi_req_o[203] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1713180 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2229220 ) E ;
- axi_req_o[202] + NET axi_req_o[202] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1710380 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2236500 ) E ;
- axi_req_o[201] + NET axi_req_o[201] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1708140 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2243780 ) E ;
- axi_req_o[200] + NET axi_req_o[200] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1705340 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2251060 ) E ;
- axi_req_o[199] + NET axi_req_o[199] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1703100 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2258340 ) E ;
- axi_req_o[198] + NET axi_req_o[198] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1700300 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2265620 ) E ;
- axi_req_o[197] + NET axi_req_o[197] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1698060 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2272900 ) E ;
- axi_req_o[196] + NET axi_req_o[196] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1695260 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2280460 ) E ;
- axi_req_o[195] + NET axi_req_o[195] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1693020 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2287740 ) E ;
- axi_req_o[194] + NET axi_req_o[194] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1690220 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2295020 ) E ;
- axi_req_o[193] + NET axi_req_o[193] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1687980 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2302300 ) E ;
- axi_req_o[192] + NET axi_req_o[192] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1685180 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2309580 ) E ;
- axi_req_o[191] + NET axi_req_o[191] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1682940 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2316860 ) E ;
- axi_req_o[190] + NET axi_req_o[190] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1680140 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2324140 ) E ;
- axi_req_o[189] + NET axi_req_o[189] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1677900 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2331420 ) E ;
- axi_req_o[188] + NET axi_req_o[188] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1675100 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2338980 ) E ;
- axi_req_o[187] + NET axi_req_o[187] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1672860 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2346260 ) E ;
- axi_req_o[186] + NET axi_req_o[186] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1670060 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2353540 ) E ;
- axi_req_o[185] + NET axi_req_o[185] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1667820 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2360820 ) E ;
- axi_req_o[184] + NET axi_req_o[184] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1665020 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2368100 ) E ;
- axi_req_o[183] + NET axi_req_o[183] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1662780 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2375380 ) E ;
- axi_req_o[182] + NET axi_req_o[182] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1659980 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2382660 ) E ;
- axi_req_o[181] + NET axi_req_o[181] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1657740 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2390220 ) E ;
- axi_req_o[180] + NET axi_req_o[180] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1654940 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2397500 ) E ;
- axi_req_o[179] + NET axi_req_o[179] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1652700 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2404780 ) E ;
- axi_req_o[178] + NET axi_req_o[178] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1649900 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2412060 ) E ;
- axi_req_o[177] + NET axi_req_o[177] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1647660 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2419340 ) E ;
- axi_req_o[176] + NET axi_req_o[176] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1644860 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2426620 ) E ;
- axi_req_o[175] + NET axi_req_o[175] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1642620 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2433900 ) E ;
- axi_req_o[174] + NET axi_req_o[174] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1639820 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2441180 ) E ;
- axi_req_o[173] + NET axi_req_o[173] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1637580 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2448460 ) E ;
- axi_req_o[172] + NET axi_req_o[172] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1634780 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2456020 ) E ;
- axi_req_o[171] + NET axi_req_o[171] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1632540 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2463300 ) E ;
- axi_req_o[170] + NET axi_req_o[170] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1629740 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2470580 ) E ;
- axi_req_o[169] + NET axi_req_o[169] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1627500 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2477860 ) E ;
- axi_req_o[168] + NET axi_req_o[168] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1624700 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2485140 ) E ;
- axi_req_o[167] + NET axi_req_o[167] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1622460 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2492420 ) E ;
- axi_req_o[166] + NET axi_req_o[166] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1619660 ) E ;
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 2499700 ) E ;
- axi_req_o[165] + NET axi_req_o[165] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1617420 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 700140 ) E ;
- axi_req_o[164] + NET axi_req_o[164] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1614620 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 707420 ) E ;
- axi_req_o[163] + NET axi_req_o[163] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1612380 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 714700 ) E ;
- axi_req_o[162] + NET axi_req_o[162] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1609580 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 721980 ) E ;
- axi_req_o[161] + NET axi_req_o[161] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1607340 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 729260 ) E ;
- axi_req_o[160] + NET axi_req_o[160] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1604540 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 736540 ) E ;
- axi_req_o[159] + NET axi_req_o[159] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1602300 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 743820 ) E ;
- axi_req_o[158] + NET axi_req_o[158] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal5 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1600060 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 751100 ) E ;
- axi_req_o[157] + NET axi_req_o[157] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1861860 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 758380 ) E ;
- axi_req_o[156] + NET axi_req_o[156] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1861020 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 765660 ) E ;
- axi_req_o[155] + NET axi_req_o[155] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1859900 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 772940 ) E ;
- axi_req_o[154] + NET axi_req_o[154] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1858780 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 780220 ) E ;
- axi_req_o[153] + NET axi_req_o[153] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1857660 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 787500 ) E ;
- axi_req_o[152] + NET axi_req_o[152] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1856540 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 794780 ) E ;
- axi_req_o[151] + NET axi_req_o[151] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1855420 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 802060 ) E ;
- axi_req_o[150] + NET axi_req_o[150] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1854300 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 809340 ) E ;
- axi_req_o[149] + NET axi_req_o[149] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1853180 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 816620 ) E ;
- axi_req_o[148] + NET axi_req_o[148] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1852060 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 823900 ) E ;
- axi_req_o[147] + NET axi_req_o[147] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1850940 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 831180 ) E ;
- axi_req_o[146] + NET axi_req_o[146] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1849820 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 838460 ) E ;
- axi_req_o[145] + NET axi_req_o[145] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1848980 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 845740 ) E ;
- axi_req_o[144] + NET axi_req_o[144] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1847860 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 853020 ) E ;
- axi_req_o[143] + NET axi_req_o[143] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1846740 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 860300 ) E ;
- axi_req_o[142] + NET axi_req_o[142] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1845620 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 867580 ) E ;
- axi_req_o[141] + NET axi_req_o[141] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1844500 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 874860 ) E ;
- axi_req_o[140] + NET axi_req_o[140] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1843380 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 882140 ) E ;
- axi_req_o[139] + NET axi_req_o[139] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1842260 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 889420 ) E ;
- axi_req_o[138] + NET axi_req_o[138] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1841140 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 896700 ) E ;
- axi_req_o[137] + NET axi_req_o[137] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1840020 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 903980 ) E ;
- axi_req_o[136] + NET axi_req_o[136] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1838900 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 911260 ) E ;
- axi_req_o[135] + NET axi_req_o[135] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1837780 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 918540 ) E ;
- axi_req_o[134] + NET axi_req_o[134] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1836660 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 925820 ) E ;
- axi_req_o[133] + NET axi_req_o[133] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1835820 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 933100 ) E ;
- axi_req_o[132] + NET axi_req_o[132] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1834700 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 940380 ) E ;
- axi_req_o[131] + NET axi_req_o[131] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1833580 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 947660 ) E ;
- axi_req_o[130] + NET axi_req_o[130] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1832460 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 954940 ) E ;
- axi_req_o[129] + NET axi_req_o[129] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1831340 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 962220 ) E ;
- axi_req_o[128] + NET axi_req_o[128] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1830220 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 969500 ) E ;
- axi_req_o[127] + NET axi_req_o[127] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1829100 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 976780 ) E ;
- axi_req_o[126] + NET axi_req_o[126] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1827980 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 984060 ) E ;
- axi_req_o[125] + NET axi_req_o[125] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1826860 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 991340 ) E ;
- axi_req_o[124] + NET axi_req_o[124] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1825740 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 998620 ) E ;
- axi_req_o[123] + NET axi_req_o[123] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1824620 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1006180 ) E ;
- axi_req_o[122] + NET axi_req_o[122] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1823500 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1013460 ) E ;
- axi_req_o[121] + NET axi_req_o[121] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1822660 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1020740 ) E ;
- axi_req_o[120] + NET axi_req_o[120] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1821540 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1028020 ) E ;
- axi_req_o[119] + NET axi_req_o[119] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1820420 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1035300 ) E ;
- axi_req_o[118] + NET axi_req_o[118] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1819300 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1042580 ) E ;
- axi_req_o[117] + NET axi_req_o[117] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1818180 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1049860 ) E ;
- axi_req_o[116] + NET axi_req_o[116] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1817060 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1057140 ) E ;
- axi_req_o[115] + NET axi_req_o[115] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1815940 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1064420 ) E ;
- axi_req_o[114] + NET axi_req_o[114] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1814820 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1071700 ) E ;
- axi_req_o[113] + NET axi_req_o[113] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1813700 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1078980 ) E ;
- axi_req_o[112] + NET axi_req_o[112] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1812580 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1086260 ) E ;
- axi_req_o[111] + NET axi_req_o[111] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1811460 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1093540 ) E ;
- axi_req_o[110] + NET axi_req_o[110] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1810340 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1100820 ) E ;
- axi_req_o[109] + NET axi_req_o[109] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1809500 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1108100 ) E ;
- axi_req_o[108] + NET axi_req_o[108] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1808380 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1115380 ) E ;
- axi_req_o[107] + NET axi_req_o[107] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1806980 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1122660 ) E ;
- axi_req_o[106] + NET axi_req_o[106] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1806140 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1129940 ) E ;
- axi_req_o[105] + NET axi_req_o[105] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1805020 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1137220 ) E ;
- axi_req_o[104] + NET axi_req_o[104] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1803620 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1144500 ) E ;
- axi_req_o[103] + NET axi_req_o[103] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1802780 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1151780 ) E ;
- axi_req_o[102] + NET axi_req_o[102] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1801660 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1159060 ) E ;
- axi_req_o[101] + NET axi_req_o[101] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1800260 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1166340 ) E ;
- axi_req_o[100] + NET axi_req_o[100] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1799420 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1173620 ) E ;
- axi_req_o[99] + NET axi_req_o[99] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1798300 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1180900 ) E ;
- axi_req_o[98] + NET axi_req_o[98] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1796900 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1188180 ) E ;
- axi_req_o[97] + NET axi_req_o[97] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1796060 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1195460 ) E ;
- axi_req_o[96] + NET axi_req_o[96] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1795220 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1202740 ) E ;
- axi_req_o[95] + NET axi_req_o[95] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1794100 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1210020 ) E ;
- axi_req_o[94] + NET axi_req_o[94] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1792700 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1217300 ) E ;
- axi_req_o[93] + NET axi_req_o[93] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1791860 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1224580 ) E ;
- axi_req_o[92] + NET axi_req_o[92] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1790740 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1231860 ) E ;
- axi_req_o[91] + NET axi_req_o[91] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1789340 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1239140 ) E ;
- axi_req_o[90] + NET axi_req_o[90] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1788500 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1246420 ) E ;
- axi_req_o[89] + NET axi_req_o[89] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1787380 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1253700 ) E ;
- axi_req_o[88] + NET axi_req_o[88] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1785980 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1260980 ) E ;
- axi_req_o[87] + NET axi_req_o[87] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1785140 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1268260 ) E ;
- axi_req_o[86] + NET axi_req_o[86] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1784020 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1275540 ) E ;
- axi_req_o[85] + NET axi_req_o[85] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1783180 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1282820 ) E ;
- axi_req_o[84] + NET axi_req_o[84] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1781780 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1290100 ) E ;
- axi_req_o[83] + NET axi_req_o[83] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1780940 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1297380 ) E ;
- axi_req_o[82] + NET axi_req_o[82] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1779820 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1304940 ) E ;
- axi_req_o[81] + NET axi_req_o[81] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1778420 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1312220 ) E ;
- axi_req_o[80] + NET axi_req_o[80] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1777580 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1319500 ) E ;
- axi_req_o[79] + NET axi_req_o[79] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1776460 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1326780 ) E ;
- axi_req_o[78] + NET axi_req_o[78] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1775060 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1334060 ) E ;
- axi_req_o[77] + NET axi_req_o[77] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1774220 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1341340 ) E ;
- axi_req_o[76] + NET axi_req_o[76] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1773100 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1348620 ) E ;
- axi_req_o[75] + NET axi_req_o[75] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1771700 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1355900 ) E ;
- axi_req_o[74] + NET axi_req_o[74] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1770860 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1363180 ) E ;
- axi_req_o[73] + NET axi_req_o[73] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1770020 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1370460 ) E ;
- axi_req_o[72] + NET axi_req_o[72] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1768900 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1377740 ) E ;
- axi_req_o[71] + NET axi_req_o[71] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1767500 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1385020 ) E ;
- axi_req_o[70] + NET axi_req_o[70] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1766660 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1392300 ) E ;
- axi_req_o[69] + NET axi_req_o[69] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1765540 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1399580 ) E ;
- axi_req_o[68] + NET axi_req_o[68] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1764140 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1406860 ) E ;
- axi_req_o[67] + NET axi_req_o[67] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1763300 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1414140 ) E ;
- axi_req_o[66] + NET axi_req_o[66] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1762180 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1421420 ) E ;
- axi_req_o[65] + NET axi_req_o[65] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1760780 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1428700 ) E ;
- axi_req_o[64] + NET axi_req_o[64] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1759940 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1435980 ) E ;
- axi_req_o[63] + NET axi_req_o[63] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1758820 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1443260 ) E ;
- axi_req_o[62] + NET axi_req_o[62] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1757420 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1450540 ) E ;
- axi_req_o[61] + NET axi_req_o[61] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1756580 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1457820 ) E ;
- axi_req_o[60] + NET axi_req_o[60] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1755740 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1465100 ) E ;
- axi_req_o[59] + NET axi_req_o[59] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1754620 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1472380 ) E ;
- axi_req_o[58] + NET axi_req_o[58] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1753220 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1479660 ) E ;
- axi_req_o[57] + NET axi_req_o[57] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1752380 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1486940 ) E ;
- axi_req_o[56] + NET axi_req_o[56] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1751260 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1494220 ) E ;
- axi_req_o[55] + NET axi_req_o[55] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1749860 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1501500 ) E ;
- axi_req_o[54] + NET axi_req_o[54] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1749020 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1508780 ) E ;
- axi_req_o[53] + NET axi_req_o[53] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1747900 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1516060 ) E ;
- axi_req_o[52] + NET axi_req_o[52] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1746500 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1523340 ) E ;
- axi_req_o[51] + NET axi_req_o[51] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1745660 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1530620 ) E ;
- axi_req_o[50] + NET axi_req_o[50] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1744540 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1537900 ) E ;
- axi_req_o[49] + NET axi_req_o[49] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1743700 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1545180 ) E ;
- axi_req_o[48] + NET axi_req_o[48] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1742300 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1552460 ) E ;
- axi_req_o[47] + NET axi_req_o[47] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1741460 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1559740 ) E ;
- axi_req_o[46] + NET axi_req_o[46] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1740340 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1567020 ) E ;
- axi_req_o[45] + NET axi_req_o[45] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1738940 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1574300 ) E ;
- axi_req_o[44] + NET axi_req_o[44] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1738100 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1581580 ) E ;
- axi_req_o[43] + NET axi_req_o[43] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1736980 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1588860 ) E ;
- axi_req_o[42] + NET axi_req_o[42] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1735580 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1596140 ) E ;
- axi_req_o[41] + NET axi_req_o[41] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1734740 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1603700 ) E ;
- axi_req_o[40] + NET axi_req_o[40] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1733620 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1610980 ) E ;
- axi_req_o[39] + NET axi_req_o[39] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1732220 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1618260 ) E ;
- axi_req_o[38] + NET axi_req_o[38] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1731380 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1625540 ) E ;
- axi_req_o[37] + NET axi_req_o[37] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1730540 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1632820 ) E ;
- axi_req_o[36] + NET axi_req_o[36] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1729420 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1640100 ) E ;
- axi_req_o[35] + NET axi_req_o[35] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1728020 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1647380 ) E ;
- axi_req_o[34] + NET axi_req_o[34] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1727180 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1654660 ) E ;
- axi_req_o[33] + NET axi_req_o[33] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1726060 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1661940 ) E ;
- axi_req_o[32] + NET axi_req_o[32] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1724660 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1669220 ) E ;
- axi_req_o[31] + NET axi_req_o[31] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1723820 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1676500 ) E ;
- axi_req_o[30] + NET axi_req_o[30] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1722700 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1683780 ) E ;
- axi_req_o[29] + NET axi_req_o[29] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1721300 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1691060 ) E ;
- axi_req_o[28] + NET axi_req_o[28] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1720460 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1698340 ) E ;
- axi_req_o[27] + NET axi_req_o[27] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1719340 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1705620 ) E ;
- axi_req_o[26] + NET axi_req_o[26] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1717940 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1712900 ) E ;
- axi_req_o[25] + NET axi_req_o[25] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1717100 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1720180 ) E ;
- axi_req_o[24] + NET axi_req_o[24] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1716260 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1727460 ) E ;
- axi_req_o[23] + NET axi_req_o[23] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1715140 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1735020 ) E ;
- axi_req_o[22] + NET axi_req_o[22] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1713740 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1742020 ) E ;
- axi_req_o[21] + NET axi_req_o[21] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1712900 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1749300 ) E ;
- axi_req_o[20] + NET axi_req_o[20] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1711780 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1756580 ) E ;
- axi_req_o[19] + NET axi_req_o[19] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1710380 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1763860 ) E ;
- axi_req_o[18] + NET axi_req_o[18] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1709540 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1771140 ) E ;
- axi_req_o[17] + NET axi_req_o[17] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1708420 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1778420 ) E ;
- axi_req_o[16] + NET axi_req_o[16] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1707020 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1785700 ) E ;
- axi_req_o[15] + NET axi_req_o[15] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1706180 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1792980 ) E ;
- axi_req_o[14] + NET axi_req_o[14] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1705060 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1800260 ) E ;
- axi_req_o[13] + NET axi_req_o[13] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1704220 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1807540 ) E ;
- axi_req_o[12] + NET axi_req_o[12] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1702820 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1814820 ) E ;
- axi_req_o[11] + NET axi_req_o[11] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1701980 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1822100 ) E ;
- axi_req_o[10] + NET axi_req_o[10] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1700860 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1829380 ) E ;
- axi_req_o[9] + NET axi_req_o[9] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1699460 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1836660 ) E ;
- axi_req_o[8] + NET axi_req_o[8] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1698620 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1843940 ) E ;
- axi_req_o[7] + NET axi_req_o[7] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1697500 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1851500 ) E ;
- axi_req_o[6] + NET axi_req_o[6] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1696100 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1858220 ) E ;
- axi_req_o[5] + NET axi_req_o[5] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1695260 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1865780 ) E ;
- axi_req_o[4] + NET axi_req_o[4] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1694140 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1873060 ) E ;
- axi_req_o[3] + NET axi_req_o[3] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1693020 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1880340 ) E ;
- axi_req_o[2] + NET axi_req_o[2] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1691900 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1887620 ) E ;
- axi_req_o[1] + NET axi_req_o[1] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1691060 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1894900 ) E ;
- axi_req_o[0] + NET axi_req_o[0] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1689940 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1902460 ) E ;
- axi_resp_i[81] + NET axi_resp_i[81] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1688820 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1909740 ) E ;
- axi_resp_i[80] + NET axi_resp_i[80] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1687700 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1917020 ) E ;
- axi_resp_i[79] + NET axi_resp_i[79] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1686580 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1924300 ) E ;
- axi_resp_i[78] + NET axi_resp_i[78] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1685460 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1931580 ) E ;
- axi_resp_i[77] + NET axi_resp_i[77] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1684340 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1938860 ) E ;
- axi_resp_i[76] + NET axi_resp_i[76] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1683220 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1946140 ) E ;
- axi_resp_i[75] + NET axi_resp_i[75] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1682100 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1953420 ) E ;
- axi_resp_i[74] + NET axi_resp_i[74] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1680980 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1960700 ) E ;
- axi_resp_i[73] + NET axi_resp_i[73] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1679860 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1967980 ) E ;
- axi_resp_i[72] + NET axi_resp_i[72] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1678740 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1975260 ) E ;
- axi_resp_i[71] + NET axi_resp_i[71] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1677900 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1982540 ) E ;
- axi_resp_i[70] + NET axi_resp_i[70] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1676780 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1989820 ) E ;
- axi_resp_i[69] + NET axi_resp_i[69] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1675660 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 1997100 ) E ;
- axi_resp_i[68] + NET axi_resp_i[68] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1674540 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2004380 ) E ;
- axi_resp_i[67] + NET axi_resp_i[67] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1673420 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2011660 ) E ;
- axi_resp_i[66] + NET axi_resp_i[66] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1672300 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2018940 ) E ;
- axi_resp_i[65] + NET axi_resp_i[65] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1671180 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2026220 ) E ;
- axi_resp_i[64] + NET axi_resp_i[64] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1670060 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2033500 ) E ;
- axi_resp_i[63] + NET axi_resp_i[63] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1668940 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2040780 ) E ;
- axi_resp_i[62] + NET axi_resp_i[62] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1667820 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2048060 ) E ;
- axi_resp_i[61] + NET axi_resp_i[61] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1666700 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2055340 ) E ;
- axi_resp_i[60] + NET axi_resp_i[60] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1665580 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2062620 ) E ;
- axi_resp_i[59] + NET axi_resp_i[59] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1664740 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2069900 ) E ;
- axi_resp_i[58] + NET axi_resp_i[58] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1663620 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2077180 ) E ;
- axi_resp_i[57] + NET axi_resp_i[57] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1662500 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2084460 ) E ;
- axi_resp_i[56] + NET axi_resp_i[56] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1661380 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2091740 ) E ;
- axi_resp_i[55] + NET axi_resp_i[55] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1660260 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2099020 ) E ;
- axi_resp_i[54] + NET axi_resp_i[54] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1659140 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2106300 ) E ;
- axi_resp_i[53] + NET axi_resp_i[53] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1658020 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2113580 ) E ;
- axi_resp_i[52] + NET axi_resp_i[52] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1656900 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2120860 ) E ;
- axi_resp_i[51] + NET axi_resp_i[51] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1655780 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2128140 ) E ;
- axi_resp_i[50] + NET axi_resp_i[50] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1654660 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2135420 ) E ;
- axi_resp_i[49] + NET axi_resp_i[49] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1653540 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2142700 ) E ;
- axi_resp_i[48] + NET axi_resp_i[48] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1652420 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2149980 ) E ;
- axi_resp_i[47] + NET axi_resp_i[47] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1651580 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2157260 ) E ;
- axi_resp_i[46] + NET axi_resp_i[46] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1650460 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2164540 ) E ;
- axi_resp_i[45] + NET axi_resp_i[45] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1649340 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2171820 ) E ;
- axi_resp_i[44] + NET axi_resp_i[44] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1648220 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2179100 ) E ;
- axi_resp_i[43] + NET axi_resp_i[43] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1647100 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2186380 ) E ;
- axi_resp_i[42] + NET axi_resp_i[42] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1645980 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2193660 ) E ;
- axi_resp_i[41] + NET axi_resp_i[41] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1644860 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2201220 ) E ;
- axi_resp_i[40] + NET axi_resp_i[40] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1643740 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2208500 ) E ;
- axi_resp_i[39] + NET axi_resp_i[39] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1642620 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2215780 ) E ;
- axi_resp_i[38] + NET axi_resp_i[38] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1641500 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2223060 ) E ;
- axi_resp_i[37] + NET axi_resp_i[37] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1640380 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2230340 ) E ;
- axi_resp_i[36] + NET axi_resp_i[36] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1639260 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2237620 ) E ;
- axi_resp_i[35] + NET axi_resp_i[35] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1638420 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2244900 ) E ;
- axi_resp_i[34] + NET axi_resp_i[34] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1637300 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2252180 ) E ;
- axi_resp_i[33] + NET axi_resp_i[33] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1636180 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2259460 ) E ;
- axi_resp_i[32] + NET axi_resp_i[32] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1635060 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2266740 ) E ;
- axi_resp_i[31] + NET axi_resp_i[31] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1633940 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2274020 ) E ;
- axi_resp_i[30] + NET axi_resp_i[30] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1632820 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2281300 ) E ;
- axi_resp_i[29] + NET axi_resp_i[29] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1631700 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2288580 ) E ;
- axi_resp_i[28] + NET axi_resp_i[28] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1630580 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2295860 ) E ;
- axi_resp_i[27] + NET axi_resp_i[27] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1629460 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2303140 ) E ;
- axi_resp_i[26] + NET axi_resp_i[26] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1628340 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2310420 ) E ;
- axi_resp_i[25] + NET axi_resp_i[25] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1627220 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2317700 ) E ;
- axi_resp_i[24] + NET axi_resp_i[24] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1626100 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2324980 ) E ;
- axi_resp_i[23] + NET axi_resp_i[23] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1625260 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2332260 ) E ;
- axi_resp_i[22] + NET axi_resp_i[22] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1624140 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2339540 ) E ;
- axi_resp_i[21] + NET axi_resp_i[21] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1623020 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2346820 ) E ;
- axi_resp_i[20] + NET axi_resp_i[20] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1621900 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2354100 ) E ;
- axi_resp_i[19] + NET axi_resp_i[19] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1620780 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2361380 ) E ;
- axi_resp_i[18] + NET axi_resp_i[18] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1619660 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2368660 ) E ;
- axi_resp_i[17] + NET axi_resp_i[17] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1618540 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2375940 ) E ;
- axi_resp_i[16] + NET axi_resp_i[16] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1617420 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2383220 ) E ;
- axi_resp_i[15] + NET axi_resp_i[15] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1616300 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2390500 ) E ;
- axi_resp_i[14] + NET axi_resp_i[14] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1615180 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2397780 ) E ;
- axi_resp_i[13] + NET axi_resp_i[13] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1614060 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2405060 ) E ;
- axi_resp_i[12] + NET axi_resp_i[12] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1612940 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2412340 ) E ;
- axi_resp_i[11] + NET axi_resp_i[11] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1612100 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2419620 ) E ;
- axi_resp_i[10] + NET axi_resp_i[10] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1610980 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2426900 ) E ;
- axi_resp_i[9] + NET axi_resp_i[9] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1609860 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2434180 ) E ;
- axi_resp_i[8] + NET axi_resp_i[8] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1608740 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2441460 ) E ;
- axi_resp_i[7] + NET axi_resp_i[7] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1607620 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2448740 ) E ;
- axi_resp_i[6] + NET axi_resp_i[6] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1606500 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2456020 ) E ;
- axi_resp_i[5] + NET axi_resp_i[5] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1605380 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2463300 ) E ;
- axi_resp_i[4] + NET axi_resp_i[4] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1604260 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2470580 ) E ;
- axi_resp_i[3] + NET axi_resp_i[3] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1603140 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2477860 ) E ;
- axi_resp_i[2] + NET axi_resp_i[2] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1602020 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2485140 ) E ;
- axi_resp_i[1] + NET axi_resp_i[1] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1600900 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2492420 ) E ;
- axi_resp_i[0] + NET axi_resp_i[0] + DIRECTION INPUT + USE SIGNAL
+ LAYER metal3 ( -70 0 ) ( 70 140 )
+ FIXED ( 0 1600060 ) E ;
+ LAYER metal4 ( -140 0 ) ( 140 280 )
+ FIXED ( 0 2499700 ) E ;
END PINS
SPECIALNETS 2 ;
- VDD ( * VDD )
+ USE POWER
;
- VSS ( * VSS )
+ USE GROUND
;
END SPECIALNETS
END DESIGN
......@@ -75,6 +75,11 @@ setDesignMode -bottomRoutingLayer 2
place_opt_design -out_dir $rptDir -prefix place
saveDesign $encDir/${DESIGN}_placed.enc
echo "stage,core_area,standard_cell_area,macro_area,total_power,wire_length,wns,tns,h_c,v_c" > ${DESIGN}_DETAILS.rpt
source ../../../../util/extract_report.tcl
set rpt_pre_cts [extract_report preCTS]
echo "$rpt_pre_cts" >> ${DESIGN}_DETAILS.rpt
set_ccopt_property post_conditioning_enable_routing_eco 1
set_ccopt_property -cts_def_lock_clock_sinks_after_routing true
setOptMode -unfixClkInstForOpt false
......@@ -86,6 +91,10 @@ set_interactive_constraint_modes [all_constraint_modes -active]
set_propagated_clock [all_clocks]
set_clock_propagation propagated
saveDesign $encDir/${DESIGN}_cts.enc
set rpt_post_cts [extract_report postCTS]
echo "$rpt_post_cts" >> ${DESIGN}_DETAILS.rpt
# ------------------------------------------------------------------------------
# Routing
# ------------------------------------------------------------------------------
......@@ -111,6 +120,8 @@ setNanoRouteMode -grouteExpWithTimingDriven false
routeDesign
#route_opt_design
saveDesign ${encDir}/${DESIGN}_route.enc
set rpt_post_route [extract_report postRoute]
echo "$rpt_post_route" >> ${DESIGN}_DETAILS.rpt
defOut -netlist -floorplan -routing ${DESIGN}_route.def
summaryReport -noHtml -outfile summaryReport/post_route.sum
......
......@@ -75,6 +75,11 @@ setDesignMode -bottomRoutingLayer 2
place_opt_design -out_dir $rptDir -prefix place
saveDesign $encDir/${DESIGN}_placed.enc
echo "stage,core_area,standard_cell_area,macro_area,total_power,wire_length,wns,tns,h_c,v_c" > ${DESIGN}_DETAILS.rpt
source ../../../../util/extract_report.tcl
set rpt_pre_cts [extract_report preCTS]
echo "$rpt_pre_cts" >> ${DESIGN}_DETAILS.rpt
set_ccopt_property post_conditioning_enable_routing_eco 1
set_ccopt_property -cts_def_lock_clock_sinks_after_routing true
setOptMode -unfixClkInstForOpt false
......@@ -86,6 +91,10 @@ set_interactive_constraint_modes [all_constraint_modes -active]
set_propagated_clock [all_clocks]
set_clock_propagation propagated
saveDesign $encDir/${DESIGN}_cts.enc
set rpt_post_cts [extract_report postCTS]
echo "$rpt_post_cts" >> ${DESIGN}_DETAILS.rpt
# ------------------------------------------------------------------------------
# Routing
# ------------------------------------------------------------------------------
......@@ -111,6 +120,8 @@ setNanoRouteMode -grouteExpWithTimingDriven false
routeDesign
#route_opt_design
saveDesign ${encDir}/${DESIGN}_route.enc
set rpt_post_route [extract_report postRoute]
echo "$rpt_post_route" >> ${DESIGN}_DETAILS.rpt
defOut -netlist -floorplan -routing ${DESIGN}_route.def
summaryReport -noHtml -outfile summaryReport/post_route.sum
......
......@@ -75,6 +75,11 @@ setDesignMode -bottomRoutingLayer 2
place_opt_design -out_dir $rptDir -prefix place
saveDesign $encDir/${DESIGN}_placed.enc
echo "stage,core_area,standard_cell_area,macro_area,total_power,wire_length,wns,tns,h_c,v_c" > ${DESIGN}_DETAILS.rpt
source ../../../../util/extract_report.tcl
set rpt_pre_cts [extract_report preCTS]
echo "$rpt_pre_cts" >> ${DESIGN}_DETAILS.rpt
set_ccopt_property post_conditioning_enable_routing_eco 1
set_ccopt_property -cts_def_lock_clock_sinks_after_routing true
setOptMode -unfixClkInstForOpt false
......@@ -86,6 +91,10 @@ set_interactive_constraint_modes [all_constraint_modes -active]
set_propagated_clock [all_clocks]
set_clock_propagation propagated
saveDesign $encDir/${DESIGN}_cts.enc
set rpt_post_cts [extract_report postCTS]
echo "$rpt_post_cts" >> ${DESIGN}_DETAILS.rpt
# ------------------------------------------------------------------------------
# Routing
# ------------------------------------------------------------------------------
......@@ -111,6 +120,8 @@ setNanoRouteMode -grouteExpWithTimingDriven false
routeDesign
#route_opt_design
saveDesign ${encDir}/${DESIGN}_route.enc
set rpt_post_route [extract_report postRoute]
echo "$rpt_post_route" >> ${DESIGN}_DETAILS.rpt
defOut -netlist -floorplan -routing ${DESIGN}_route.def
summaryReport -noHtml -outfile summaryReport/post_route.sum
......
......@@ -75,6 +75,11 @@ setDesignMode -bottomRoutingLayer 2
place_opt_design -out_dir $rptDir -prefix place
saveDesign $encDir/${DESIGN}_placed.enc
echo "stage,core_area,standard_cell_area,macro_area,total_power,wire_length,wns,tns,h_c,v_c" > ${DESIGN}_DETAILS.rpt
source ../../../../util/extract_report.tcl
set rpt_pre_cts [extract_report preCTS]
echo "$rpt_pre_cts" >> ${DESIGN}_DETAILS.rpt
set_ccopt_property post_conditioning_enable_routing_eco 1
set_ccopt_property -cts_def_lock_clock_sinks_after_routing true
setOptMode -unfixClkInstForOpt false
......@@ -86,6 +91,10 @@ set_interactive_constraint_modes [all_constraint_modes -active]
set_propagated_clock [all_clocks]
set_clock_propagation propagated
saveDesign $encDir/${DESIGN}_cts.enc
set rpt_post_cts [extract_report postCTS]
echo "$rpt_post_cts" >> ${DESIGN}_DETAILS.rpt
# ------------------------------------------------------------------------------
# Routing
# ------------------------------------------------------------------------------
......@@ -111,6 +120,8 @@ setNanoRouteMode -grouteExpWithTimingDriven false
routeDesign
#route_opt_design
saveDesign ${encDir}/${DESIGN}_route.enc
set rpt_post_route [extract_report postRoute]
echo "$rpt_post_route" >> ${DESIGN}_DETAILS.rpt
defOut -netlist -floorplan -routing ${DESIGN}_route.def
summaryReport -noHtml -outfile summaryReport/post_route.sum
......
#period set in nano-seconds - currently: 4ns = 250 MHz freq
create_clock [get_ports clk_i] -name core_clock -period 4
create_clock [get_ports clk_i] -name core_clock -period 6
......@@ -74,6 +74,11 @@ setDesignMode -bottomRoutingLayer 2
place_opt_design -out_dir $rptDir -prefix place
saveDesign $encDir/${DESIGN}_placed.enc
echo "stage,core_area,standard_cell_area,macro_area,total_power,wire_length,wns,tns,h_c,v_c" > ${DESIGN}_DETAILS.rpt
source ../../../../util/extract_report.tcl
set rpt_pre_cts [extract_report preCTS]
echo "$rpt_pre_cts" >> ${DESIGN}_DETAILS.rpt
set_ccopt_property post_conditioning_enable_routing_eco 1
set_ccopt_property -cts_def_lock_clock_sinks_after_routing true
setOptMode -unfixClkInstForOpt false
......@@ -85,6 +90,10 @@ set_interactive_constraint_modes [all_constraint_modes -active]
set_propagated_clock [all_clocks]
set_clock_propagation propagated
saveDesign $encDir/${DESIGN}_cts.enc
set rpt_post_cts [extract_report postCTS]
echo "$rpt_post_cts" >> ${DESIGN}_DETAILS.rpt
# ------------------------------------------------------------------------------
# Routing
# ------------------------------------------------------------------------------
......@@ -110,6 +119,10 @@ setNanoRouteMode -grouteExpWithTimingDriven false
routeDesign
#route_opt_design
saveDesign ${encDir}/${DESIGN}_route.enc
set rpt_post_route [extract_report postRoute]
echo "$rpt_post_route" >> ${DESIGN}_DETAILS.rpt
defOut -netlist -floorplan -routing ${DESIGN}_route.def
summaryReport -noHtml -outfile summaryReport/post_route.sum
......
# ####################################################################
# Created by Genus(TM) Synthesis Solution 21.10-p002_1 on Fri Jul 01 20:44:40 PDT 2022
# ####################################################################
set sdc_version 2.0
set_units -capacitance 1000fF
set_units -time 1000ps
# Set the current design
current_design ariane
create_clock -name "core_clock" -period 8.0 -waveform {0.0 4.0} [get_ports clk_i]
set_clock_gating_check -setup 0.0
set_wire_load_mode "top"
#period set in nano-seconds - currently: 4ns = 250 MHz freq
create_clock [get_ports clk_i] -name core_clock -period 6
......@@ -74,6 +74,11 @@ setDesignMode -bottomRoutingLayer 2
place_opt_design -out_dir $rptDir -prefix place
saveDesign $encDir/${DESIGN}_placed.enc
echo "stage,core_area,standard_cell_area,macro_area,total_power,wire_length,wns,tns,h_c,v_c" > ${DESIGN}_DETAILS.rpt
source ../../../../util/extract_report.tcl
set rpt_pre_cts [extract_report preCTS]
echo "$rpt_pre_cts" >> ${DESIGN}_DETAILS.rpt
set_ccopt_property post_conditioning_enable_routing_eco 1
set_ccopt_property -cts_def_lock_clock_sinks_after_routing true
setOptMode -unfixClkInstForOpt false
......@@ -85,6 +90,10 @@ set_interactive_constraint_modes [all_constraint_modes -active]
set_propagated_clock [all_clocks]
set_clock_propagation propagated
saveDesign $encDir/${DESIGN}_cts.enc
set rpt_post_cts [extract_report postCTS]
echo "$rpt_post_cts" >> ${DESIGN}_DETAILS.rpt
# ------------------------------------------------------------------------------
# Routing
# ------------------------------------------------------------------------------
......@@ -110,6 +119,10 @@ setNanoRouteMode -grouteExpWithTimingDriven false
routeDesign
#route_opt_design
saveDesign ${encDir}/${DESIGN}_route.enc
set rpt_post_route [extract_report postRoute]
echo "$rpt_post_route" >> ${DESIGN}_DETAILS.rpt
defOut -netlist -floorplan -routing ${DESIGN}_route.def
summaryReport -noHtml -outfile summaryReport/post_route.sum
......
......@@ -74,6 +74,11 @@ setDesignMode -bottomRoutingLayer 2
place_opt_design -out_dir $rptDir -prefix place
saveDesign $encDir/${DESIGN}_placed.enc
echo "stage,core_area,standard_cell_area,macro_area,total_power,wire_length,wns,tns,h_c,v_c" > ${DESIGN}_DETAILS.rpt
source ../../../../util/extract_report.tcl
set rpt_pre_cts [extract_report preCTS]
echo "$rpt_pre_cts" >> ${DESIGN}_DETAILS.rpt
set_ccopt_property post_conditioning_enable_routing_eco 1
set_ccopt_property -cts_def_lock_clock_sinks_after_routing true
setOptMode -unfixClkInstForOpt false
......@@ -85,6 +90,10 @@ set_interactive_constraint_modes [all_constraint_modes -active]
set_propagated_clock [all_clocks]
set_clock_propagation propagated
saveDesign $encDir/${DESIGN}_cts.enc
set rpt_post_cts [extract_report postCTS]
echo "$rpt_post_cts" >> ${DESIGN}_DETAILS.rpt
# ------------------------------------------------------------------------------
# Routing
# ------------------------------------------------------------------------------
......@@ -110,6 +119,10 @@ setNanoRouteMode -grouteExpWithTimingDriven false
routeDesign
#route_opt_design
saveDesign ${encDir}/${DESIGN}_route.enc
set rpt_post_route [extract_report postRoute]
echo "$rpt_post_route" >> ${DESIGN}_DETAILS.rpt
defOut -netlist -floorplan -routing ${DESIGN}_route.def
summaryReport -noHtml -outfile summaryReport/post_route.sum
......
......@@ -12,7 +12,7 @@ set_ideal_network [get_ports direct_reset_]
set_ideal_network [get_ports dla_reset_rstn]
set_ideal_network -no_propagate [get_nets nvdla_core_rstn]
set_ideal_network [get_ports test_mode]
create_clock [get_ports nvdla_core_clk] -period 6.0 -waveform {0 3.0}
create_clock [get_ports nvdla_core_clk] -period 5.0 -waveform {0 2.5}
set_clock_transition -max -rise 0.15 [get_clocks nvdla_core_clk]
set_clock_transition -max -fall 0.15 [get_clocks nvdla_core_clk]
set_clock_transition -min -rise 0.15 [get_clocks nvdla_core_clk]
......
......@@ -74,6 +74,11 @@ setDesignMode -bottomRoutingLayer 2
place_opt_design -out_dir $rptDir -prefix place
saveDesign $encDir/${DESIGN}_placed.enc
echo "stage,core_area,standard_cell_area,macro_area,total_power,wire_length,wns,tns,h_c,v_c" > ${DESIGN}_DETAILS.rpt
source ../../../../util/extract_report.tcl
set rpt_pre_cts [extract_report preCTS]
echo "$rpt_pre_cts" >> ${DESIGN}_DETAILS.rpt
set_ccopt_property post_conditioning_enable_routing_eco 1
set_ccopt_property -cts_def_lock_clock_sinks_after_routing true
setOptMode -unfixClkInstForOpt false
......@@ -85,6 +90,10 @@ set_interactive_constraint_modes [all_constraint_modes -active]
set_propagated_clock [all_clocks]
set_clock_propagation propagated
saveDesign $encDir/${DESIGN}_cts.enc
set rpt_post_cts [extract_report postCTS]
echo "$rpt_post_cts" >> ${DESIGN}_DETAILS.rpt
# ------------------------------------------------------------------------------
# Routing
# ------------------------------------------------------------------------------
......@@ -110,6 +119,10 @@ setNanoRouteMode -grouteExpWithTimingDriven false
routeDesign
#route_opt_design
saveDesign ${encDir}/${DESIGN}_route.enc
set rpt_post_route [extract_report postRoute]
echo "$rpt_post_route" >> ${DESIGN}_DETAILS.rpt
defOut -netlist -floorplan -routing ${DESIGN}_route.def
summaryReport -noHtml -outfile summaryReport/post_route.sum
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment