# Generated by Yosys 0.8+165 (git sha1 24639120, clang 4.0.1-10 -fPIC -Os)
autoidx 2620
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3"
module \BSCANE2
  parameter \DISABLE_JTAG
  parameter \JTAG_CHAIN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:6"
  wire output 1 \CAPTURE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:7"
  wire output 2 \DRCK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:8"
  wire output 3 \RESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:9"
  wire output 4 \RUNTEST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:10"
  wire output 5 \SEL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:11"
  wire output 6 \SHIFT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:12"
  wire output 7 \TCK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:13"
  wire output 8 \TDI
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:16"
  wire input 11 \TDO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:14"
  wire output 9 \TMS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:15"
  wire output 10 \UPDATE
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:22"
module \BUFG
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:22"
  wire input 2 \I
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:22"
  wire output 1 \O
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:19"
module \BUFGCE
  parameter \CE_TYPE
  parameter \IS_CE_INVERTED
  parameter \IS_I_INVERTED
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:24"
  wire input 2 \CE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:25"
  wire input 3 \I
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:23"
  wire output 1 \O
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:28"
module \BUFGCE_1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:30"
  wire input 2 \CE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:30"
  wire input 3 \I
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:29"
  wire output 1 \O
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:33"
module \BUFGCTRL
  parameter \INIT_OUT
  parameter \IS_CE0_INVERTED
  parameter \IS_CE1_INVERTED
  parameter \IS_I0_INVERTED
  parameter \IS_I1_INVERTED
  parameter \IS_IGNORE0_INVERTED
  parameter \IS_IGNORE1_INVERTED
  parameter \IS_S0_INVERTED
  parameter \IS_S1_INVERTED
  parameter \PRESELECT_I0
  parameter \PRESELECT_I1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:35"
  wire input 2 \CE0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:36"
  wire input 3 \CE1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:37"
  wire input 4 \I0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:38"
  wire input 5 \I1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:39"
  wire input 6 \IGNORE0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:40"
  wire input 7 \IGNORE1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:34"
  wire output 1 \O
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:41"
  wire input 8 \S0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:42"
  wire input 9 \S1
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:56"
module \BUFGMUX
  parameter \CLK_SEL_TYPE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:59"
  wire input 2 \I0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:59"
  wire input 3 \I1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:58"
  wire output 1 \O
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:59"
  wire input 4 \S
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:62"
module \BUFGMUX_1
  parameter \CLK_SEL_TYPE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:65"
  wire input 2 \I0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:65"
  wire input 3 \I1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:64"
  wire output 1 \O
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:65"
  wire input 4 \S
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:68"
module \BUFGMUX_CTRL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:70"
  wire input 2 \I0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:71"
  wire input 3 \I1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:69"
  wire output 1 \O
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:72"
  wire input 4 \S
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:75"
module \BUFH
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:77"
  wire input 2 \I
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:76"
  wire output 1 \O
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:80"
module \BUFHCE
  parameter \CE_TYPE
  parameter \INIT_OUT
  parameter \IS_CE_INVERTED
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:85"
  wire input 2 \CE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:86"
  wire input 3 \I
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:84"
  wire output 1 \O
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:89"
module \BUFIO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:91"
  wire input 2 \I
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:90"
  wire output 1 \O
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:94"
module \BUFMR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:96"
  wire input 2 \I
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:95"
  wire output 1 \O
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:99"
module \BUFMRCE
  parameter \CE_TYPE
  parameter \INIT_OUT
  parameter \IS_CE_INVERTED
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:104"
  wire input 2 \CE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:105"
  wire input 3 \I
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:103"
  wire output 1 \O
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:108"
module \BUFR
  parameter \BUFR_DIVIDE
  parameter \SIM_DEVICE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:110"
  wire input 2 \CE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:111"
  wire input 3 \CLR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:112"
  wire input 4 \I
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:109"
  wire output 1 \O
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:117"
module \CAPTUREE2
  parameter \ONESHOT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:119"
  wire input 1 \CAP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:120"
  wire input 2 \CLK
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:99"
module \CARRY4
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:99"
  wire input 3 \CI
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:99"
  wire width 4 output 1 \CO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:99"
  wire input 4 \CYINIT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:99"
  wire width 4 input 5 \DI
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:99"
  wire width 4 output 2 \O
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:99"
  wire width 4 input 6 \S
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:123"
module \CFGLUT5
  parameter \INIT
  parameter \IS_CLK_INVERTED
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:130"
  wire input 9 \CDI
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:126"
  wire output 1 \CDO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:130"
  wire input 10 \CE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:130"
  wire input 11 \CLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:129"
  wire input 8 \I0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:129"
  wire input 7 \I1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:129"
  wire input 6 \I2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:129"
  wire input 5 \I3
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:129"
  wire input 4 \I4
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:127"
  wire output 2 \O5
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:128"
  wire output 3 \O6
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:133"
module \DCIRESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:134"
  wire output 1 \LOCKED
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:135"
  wire input 2 \RST
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:138"
module \DNA_PORT
  parameter \SIM_DNA_VALUE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:141"
  wire input 2 \CLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:141"
  wire input 3 \DIN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:140"
  wire output 1 \DOUT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:141"
  wire input 4 \READ
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:141"
  wire input 5 \SHIFT
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:144"
module \DSP48E1
  parameter \ACASCREG
  parameter \ADREG
  parameter \ALUMODEREG
  parameter \AREG
  parameter \AUTORESET_PATDET
  parameter \A_INPUT
  parameter \BCASCREG
  parameter \BREG
  parameter \B_INPUT
  parameter \CARRYINREG
  parameter \CARRYINSELREG
  parameter \CREG
  parameter \DREG
  parameter \INMODEREG
  parameter \IS_ALUMODE_INVERTED
  parameter \IS_CARRYIN_INVERTED
  parameter \IS_CLK_INVERTED
  parameter \IS_INMODE_INVERTED
  parameter \IS_OPMODE_INVERTED
  parameter \MASK
  parameter \MREG
  parameter \OPMODEREG
  parameter \PATTERN
  parameter \PREG
  parameter \SEL_MASK
  parameter \SEL_PATTERN
  parameter \USE_DPORT
  parameter \USE_MULT
  parameter \USE_PATTERN_DETECT
  parameter \USE_SIMD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:186"
  wire width 30 input 12 \A
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:187"
  wire width 30 input 13 \ACIN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:175"
  wire width 30 output 1 \ACOUT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:188"
  wire width 4 input 14 \ALUMODE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:189"
  wire width 18 input 15 \B
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:190"
  wire width 18 input 16 \BCIN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:176"
  wire width 18 output 2 \BCOUT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:191"
  wire width 48 input 17 \C
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:192"
  wire input 18 \CARRYCASCIN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:177"
  wire output 3 \CARRYCASCOUT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:193"
  wire input 19 \CARRYIN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:194"
  wire width 3 input 20 \CARRYINSEL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:178"
  wire width 4 output 4 \CARRYOUT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:195"
  wire input 21 \CEA1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:196"
  wire input 22 \CEA2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:197"
  wire input 23 \CEAD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:198"
  wire input 24 \CEALUMODE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:199"
  wire input 25 \CEB1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:200"
  wire input 26 \CEB2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:201"
  wire input 27 \CEC
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:202"
  wire input 28 \CECARRYIN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:203"
  wire input 29 \CECTRL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:204"
  wire input 30 \CED
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:205"
  wire input 31 \CEINMODE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:206"
  wire input 32 \CEM
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:207"
  wire input 33 \CEP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:208"
  wire input 34 \CLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:209"
  wire width 25 input 35 \D
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:210"
  wire width 5 input 36 \INMODE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:211"
  wire input 37 \MULTSIGNIN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:179"
  wire output 5 \MULTSIGNOUT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:212"
  wire width 7 input 38 \OPMODE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:180"
  wire output 6 \OVERFLOW
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:181"
  wire width 48 output 7 \P
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:182"
  wire output 8 \PATTERNBDETECT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:183"
  wire output 9 \PATTERNDETECT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:213"
  wire width 48 input 39 \PCIN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:184"
  wire width 48 output 10 \PCOUT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:214"
  wire input 40 \RSTA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:215"
  wire input 41 \RSTALLCARRYIN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:216"
  wire input 42 \RSTALUMODE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:217"
  wire input 43 \RSTB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:218"
  wire input 44 \RSTC
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:219"
  wire input 45 \RSTCTRL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:220"
  wire input 46 \RSTD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:221"
  wire input 47 \RSTINMODE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:222"
  wire input 48 \RSTM
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:223"
  wire input 49 \RSTP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:185"
  wire output 11 \UNDERFLOW
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:226"
module \EFUSE_USR
  parameter \SIM_EFUSE_VALUE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:228"
  wire width 32 output 1 \EFUSEUSR
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:131"
module \FDCE
  parameter \INIT
  parameter \IS_CLR_INVERTED
  parameter \IS_C_INVERTED
  parameter \IS_D_INVERTED
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:131"
  wire input 2 \C
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:131"
  wire input 3 \CE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:131"
  wire input 5 \CLR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:131"
  wire input 4 \D
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:131"
  wire output 1 \Q
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:145"
module \FDPE
  parameter \INIT
  parameter \IS_C_INVERTED
  parameter \IS_D_INVERTED
  parameter \IS_PRE_INVERTED
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:145"
  wire input 2 \C
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:145"
  wire input 3 \CE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:145"
  wire input 4 \D
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:145"
  wire input 5 \PRE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:145"
  wire output 1 \Q
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:107"
module \FDRE
  parameter \INIT
  parameter \IS_C_INVERTED
  parameter \IS_D_INVERTED
  parameter \IS_R_INVERTED
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:107"
  wire input 2 \C
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:107"
  wire input 3 \CE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:107"
  wire input 4 \D
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:107"
  wire output 1 \Q
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:107"
  wire input 5 \R
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:119"
module \FDSE
  parameter \INIT
  parameter \IS_C_INVERTED
  parameter \IS_D_INVERTED
  parameter \IS_S_INVERTED
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:119"
  wire input 2 \C
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:119"
  wire input 3 \CE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:119"
  wire input 4 \D
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:119"
  wire output 1 \Q
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:119"
  wire input 5 \S
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:231"
module \FIFO18E1
  parameter \ALMOST_EMPTY_OFFSET
  parameter \ALMOST_FULL_OFFSET
  parameter \DATA_WIDTH
  parameter \DO_REG
  parameter \EN_SYN
  parameter \FIFO_MODE
  parameter \FIRST_WORD_FALL_THROUGH
  parameter \INIT
  parameter \IS_RDCLK_INVERTED
  parameter \IS_RDEN_INVERTED
  parameter \IS_RSTREG_INVERTED
  parameter \IS_RST_INVERTED
  parameter \IS_WRCLK_INVERTED
  parameter \IS_WREN_INVERTED
  parameter \SIM_DEVICE
  parameter \SRVAL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:248"
  wire output 1 \ALMOSTEMPTY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:249"
  wire output 2 \ALMOSTFULL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:258"
  wire width 32 input 11 \DI
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:259"
  wire width 4 input 12 \DIP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:250"
  wire width 32 output 3 \DO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:251"
  wire width 4 output 4 \DOP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:252"
  wire output 5 \EMPTY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:253"
  wire output 6 \FULL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:260"
  wire input 13 \RDCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:254"
  wire width 12 output 7 \RDCOUNT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:261"
  wire input 14 \RDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:255"
  wire output 8 \RDERR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:262"
  wire input 15 \REGCE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:263"
  wire input 16 \RST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:264"
  wire input 17 \RSTREG
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:265"
  wire input 18 \WRCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:256"
  wire width 12 output 9 \WRCOUNT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:266"
  wire input 19 \WREN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:257"
  wire output 10 \WRERR
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:269"
module \FIFO36E1
  parameter \ALMOST_EMPTY_OFFSET
  parameter \ALMOST_FULL_OFFSET
  parameter \DATA_WIDTH
  parameter \DO_REG
  parameter \EN_ECC_READ
  parameter \EN_ECC_WRITE
  parameter \EN_SYN
  parameter \FIFO_MODE
  parameter \FIRST_WORD_FALL_THROUGH
  parameter \INIT
  parameter \IS_RDCLK_INVERTED
  parameter \IS_RDEN_INVERTED
  parameter \IS_RSTREG_INVERTED
  parameter \IS_RST_INVERTED
  parameter \IS_WRCLK_INVERTED
  parameter \IS_WREN_INVERTED
  parameter \SIM_DEVICE
  parameter \SRVAL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:288"
  wire output 1 \ALMOSTEMPTY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:289"
  wire output 2 \ALMOSTFULL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:290"
  wire output 3 \DBITERR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:301"
  wire width 64 input 14 \DI
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:302"
  wire width 8 input 15 \DIP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:291"
  wire width 64 output 4 \DO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:292"
  wire width 8 output 5 \DOP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:293"
  wire width 8 output 6 \ECCPARITY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:294"
  wire output 7 \EMPTY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:295"
  wire output 8 \FULL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:303"
  wire input 16 \INJECTDBITERR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:304"
  wire input 17 \INJECTSBITERR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:305"
  wire input 18 \RDCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:296"
  wire width 13 output 9 \RDCOUNT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:306"
  wire input 19 \RDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:297"
  wire output 10 \RDERR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:307"
  wire input 20 \REGCE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:308"
  wire input 21 \RST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:309"
  wire input 22 \RSTREG
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:298"
  wire output 11 \SBITERR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:310"
  wire input 23 \WRCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:299"
  wire width 13 output 12 \WRCOUNT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:311"
  wire input 24 \WREN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:300"
  wire output 13 \WRERR
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:314"
module \FRAME_ECCE2
  parameter \FARSRC
  parameter \FRAME_RBT_IN_FILENAME
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:317"
  wire output 1 \CRCERROR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:318"
  wire output 2 \ECCERROR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:319"
  wire output 3 \ECCERRORSINGLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:322"
  wire width 26 output 6 \FAR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:323"
  wire width 5 output 7 \SYNBIT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:321"
  wire width 13 output 5 \SYNDROME
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:320"
  wire output 4 \SYNDROMEVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:324"
  wire width 7 output 8 \SYNWORD
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:10"
module \GND
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:10"
  wire output 1 \G
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:327"
module \GTHE2_CHANNEL
  parameter \ACJTAG_DEBUG_MODE
  parameter \ACJTAG_MODE
  parameter \ACJTAG_RESET
  parameter \ADAPT_CFG0
  parameter \ALIGN_COMMA_DOUBLE
  parameter \ALIGN_COMMA_ENABLE
  parameter \ALIGN_COMMA_WORD
  parameter \ALIGN_MCOMMA_DET
  parameter \ALIGN_MCOMMA_VALUE
  parameter \ALIGN_PCOMMA_DET
  parameter \ALIGN_PCOMMA_VALUE
  parameter \A_RXOSCALRESET
  parameter \CBCC_DATA_SOURCE_SEL
  parameter \CFOK_CFG
  parameter \CFOK_CFG2
  parameter \CFOK_CFG3
  parameter \CHAN_BOND_KEEP_ALIGN
  parameter \CHAN_BOND_MAX_SKEW
  parameter \CHAN_BOND_SEQ_1_1
  parameter \CHAN_BOND_SEQ_1_2
  parameter \CHAN_BOND_SEQ_1_3
  parameter \CHAN_BOND_SEQ_1_4
  parameter \CHAN_BOND_SEQ_1_ENABLE
  parameter \CHAN_BOND_SEQ_2_1
  parameter \CHAN_BOND_SEQ_2_2
  parameter \CHAN_BOND_SEQ_2_3
  parameter \CHAN_BOND_SEQ_2_4
  parameter \CHAN_BOND_SEQ_2_ENABLE
  parameter \CHAN_BOND_SEQ_2_USE
  parameter \CHAN_BOND_SEQ_LEN
  parameter \CLK_CORRECT_USE
  parameter \CLK_COR_KEEP_IDLE
  parameter \CLK_COR_MAX_LAT
  parameter \CLK_COR_MIN_LAT
  parameter \CLK_COR_PRECEDENCE
  parameter \CLK_COR_REPEAT_WAIT
  parameter \CLK_COR_SEQ_1_1
  parameter \CLK_COR_SEQ_1_2
  parameter \CLK_COR_SEQ_1_3
  parameter \CLK_COR_SEQ_1_4
  parameter \CLK_COR_SEQ_1_ENABLE
  parameter \CLK_COR_SEQ_2_1
  parameter \CLK_COR_SEQ_2_2
  parameter \CLK_COR_SEQ_2_3
  parameter \CLK_COR_SEQ_2_4
  parameter \CLK_COR_SEQ_2_ENABLE
  parameter \CLK_COR_SEQ_2_USE
  parameter \CLK_COR_SEQ_LEN
  parameter \CPLL_CFG
  parameter \CPLL_FBDIV
  parameter \CPLL_FBDIV_45
  parameter \CPLL_INIT_CFG
  parameter \CPLL_LOCK_CFG
  parameter \CPLL_REFCLK_DIV
  parameter \DEC_MCOMMA_DETECT
  parameter \DEC_PCOMMA_DETECT
  parameter \DEC_VALID_COMMA_ONLY
  parameter \DMONITOR_CFG
  parameter \ES_CLK_PHASE_SEL
  parameter \ES_CONTROL
  parameter \ES_ERRDET_EN
  parameter \ES_EYE_SCAN_EN
  parameter \ES_HORZ_OFFSET
  parameter \ES_PMA_CFG
  parameter \ES_PRESCALE
  parameter \ES_QUALIFIER
  parameter \ES_QUAL_MASK
  parameter \ES_SDATA_MASK
  parameter \ES_VERT_OFFSET
  parameter \FTS_DESKEW_SEQ_ENABLE
  parameter \FTS_LANE_DESKEW_CFG
  parameter \FTS_LANE_DESKEW_EN
  parameter \GEARBOX_MODE
  parameter \IS_CLKRSVD0_INVERTED
  parameter \IS_CLKRSVD1_INVERTED
  parameter \IS_CPLLLOCKDETCLK_INVERTED
  parameter \IS_DMONITORCLK_INVERTED
  parameter \IS_DRPCLK_INVERTED
  parameter \IS_GTGREFCLK_INVERTED
  parameter \IS_RXUSRCLK2_INVERTED
  parameter \IS_RXUSRCLK_INVERTED
  parameter \IS_SIGVALIDCLK_INVERTED
  parameter \IS_TXPHDLYTSTCLK_INVERTED
  parameter \IS_TXUSRCLK2_INVERTED
  parameter \IS_TXUSRCLK_INVERTED
  parameter \LOOPBACK_CFG
  parameter \OUTREFCLK_SEL_INV
  parameter \PCS_PCIE_EN
  parameter \PCS_RSVD_ATTR
  parameter \PD_TRANS_TIME_FROM_P2
  parameter \PD_TRANS_TIME_NONE_P2
  parameter \PD_TRANS_TIME_TO_P2
  parameter \PMA_RSV
  parameter \PMA_RSV2
  parameter \PMA_RSV3
  parameter \PMA_RSV4
  parameter \PMA_RSV5
  parameter \RESET_POWERSAVE_DISABLE
  parameter \RXBUFRESET_TIME
  parameter \RXBUF_ADDR_MODE
  parameter \RXBUF_EIDLE_HI_CNT
  parameter \RXBUF_EIDLE_LO_CNT
  parameter \RXBUF_EN
  parameter \RXBUF_RESET_ON_CB_CHANGE
  parameter \RXBUF_RESET_ON_COMMAALIGN
  parameter \RXBUF_RESET_ON_EIDLE
  parameter \RXBUF_RESET_ON_RATE_CHANGE
  parameter \RXBUF_THRESH_OVFLW
  parameter \RXBUF_THRESH_OVRD
  parameter \RXBUF_THRESH_UNDFLW
  parameter \RXCDRFREQRESET_TIME
  parameter \RXCDRPHRESET_TIME
  parameter \RXCDR_CFG
  parameter \RXCDR_FR_RESET_ON_EIDLE
  parameter \RXCDR_HOLD_DURING_EIDLE
  parameter \RXCDR_LOCK_CFG
  parameter \RXCDR_PH_RESET_ON_EIDLE
  parameter \RXDFELPMRESET_TIME
  parameter \RXDLY_CFG
  parameter \RXDLY_LCFG
  parameter \RXDLY_TAP_CFG
  parameter \RXGEARBOX_EN
  parameter \RXISCANRESET_TIME
  parameter \RXLPM_HF_CFG
  parameter \RXLPM_LF_CFG
  parameter \RXOOB_CFG
  parameter \RXOOB_CLK_CFG
  parameter \RXOSCALRESET_TIME
  parameter \RXOSCALRESET_TIMEOUT
  parameter \RXOUT_DIV
  parameter \RXPCSRESET_TIME
  parameter \RXPHDLY_CFG
  parameter \RXPH_CFG
  parameter \RXPH_MONITOR_SEL
  parameter \RXPI_CFG0
  parameter \RXPI_CFG1
  parameter \RXPI_CFG2
  parameter \RXPI_CFG3
  parameter \RXPI_CFG4
  parameter \RXPI_CFG5
  parameter \RXPI_CFG6
  parameter \RXPMARESET_TIME
  parameter \RXPRBS_ERR_LOOPBACK
  parameter \RXSLIDE_AUTO_WAIT
  parameter \RXSLIDE_MODE
  parameter \RXSYNC_MULTILANE
  parameter \RXSYNC_OVRD
  parameter \RXSYNC_SKIP_DA
  parameter \RX_BIAS_CFG
  parameter \RX_BUFFER_CFG
  parameter \RX_CLK25_DIV
  parameter \RX_CLKMUX_PD
  parameter \RX_CM_SEL
  parameter \RX_CM_TRIM
  parameter \RX_DATA_WIDTH
  parameter \RX_DDI_SEL
  parameter \RX_DEBUG_CFG
  parameter \RX_DEFER_RESET_BUF_EN
  parameter \RX_DFELPM_CFG0
  parameter \RX_DFELPM_CFG1
  parameter \RX_DFELPM_KLKH_AGC_STUP_EN
  parameter \RX_DFE_AGC_CFG0
  parameter \RX_DFE_AGC_CFG1
  parameter \RX_DFE_AGC_CFG2
  parameter \RX_DFE_AGC_OVRDEN
  parameter \RX_DFE_GAIN_CFG
  parameter \RX_DFE_H2_CFG
  parameter \RX_DFE_H3_CFG
  parameter \RX_DFE_H4_CFG
  parameter \RX_DFE_H5_CFG
  parameter \RX_DFE_H6_CFG
  parameter \RX_DFE_H7_CFG
  parameter \RX_DFE_KL_CFG
  parameter \RX_DFE_KL_LPM_KH_CFG0
  parameter \RX_DFE_KL_LPM_KH_CFG1
  parameter \RX_DFE_KL_LPM_KH_CFG2
  parameter \RX_DFE_KL_LPM_KH_OVRDEN
  parameter \RX_DFE_KL_LPM_KL_CFG0
  parameter \RX_DFE_KL_LPM_KL_CFG1
  parameter \RX_DFE_KL_LPM_KL_CFG2
  parameter \RX_DFE_KL_LPM_KL_OVRDEN
  parameter \RX_DFE_LPM_CFG
  parameter \RX_DFE_LPM_HOLD_DURING_EIDLE
  parameter \RX_DFE_ST_CFG
  parameter \RX_DFE_UT_CFG
  parameter \RX_DFE_VP_CFG
  parameter \RX_DISPERR_SEQ_MATCH
  parameter \RX_INT_DATAWIDTH
  parameter \RX_OS_CFG
  parameter \RX_SIG_VALID_DLY
  parameter \RX_XCLK_SEL
  parameter \SAS_MAX_COM
  parameter \SAS_MIN_COM
  parameter \SATA_BURST_SEQ_LEN
  parameter \SATA_BURST_VAL
  parameter \SATA_CPLL_CFG
  parameter \SATA_EIDLE_VAL
  parameter \SATA_MAX_BURST
  parameter \SATA_MAX_INIT
  parameter \SATA_MAX_WAKE
  parameter \SATA_MIN_BURST
  parameter \SATA_MIN_INIT
  parameter \SATA_MIN_WAKE
  parameter \SHOW_REALIGN_COMMA
  parameter \SIM_CPLLREFCLK_SEL
  parameter \SIM_RECEIVER_DETECT_PASS
  parameter \SIM_RESET_SPEEDUP
  parameter \SIM_TX_EIDLE_DRIVE_LEVEL
  parameter \SIM_VERSION
  parameter \TERM_RCAL_CFG
  parameter \TERM_RCAL_OVRD
  parameter \TRANS_TIME_RATE
  parameter \TST_RSV
  parameter \TXBUF_EN
  parameter \TXBUF_RESET_ON_RATE_CHANGE
  parameter \TXDLY_CFG
  parameter \TXDLY_LCFG
  parameter \TXDLY_TAP_CFG
  parameter \TXGEARBOX_EN
  parameter \TXOOB_CFG
  parameter \TXOUT_DIV
  parameter \TXPCSRESET_TIME
  parameter \TXPHDLY_CFG
  parameter \TXPH_CFG
  parameter \TXPH_MONITOR_SEL
  parameter \TXPI_CFG0
  parameter \TXPI_CFG1
  parameter \TXPI_CFG2
  parameter \TXPI_CFG3
  parameter \TXPI_CFG4
  parameter \TXPI_CFG5
  parameter \TXPI_GREY_SEL
  parameter \TXPI_INVSTROBE_SEL
  parameter \TXPI_PPMCLK_SEL
  parameter \TXPI_PPM_CFG
  parameter \TXPI_SYNFREQ_PPM
  parameter \TXPMARESET_TIME
  parameter \TXSYNC_MULTILANE
  parameter \TXSYNC_OVRD
  parameter \TXSYNC_SKIP_DA
  parameter \TX_CLK25_DIV
  parameter \TX_CLKMUX_PD
  parameter \TX_DATA_WIDTH
  parameter \TX_DEEMPH0
  parameter \TX_DEEMPH1
  parameter \TX_DRIVE_MODE
  parameter \TX_EIDLE_ASSERT_DELAY
  parameter \TX_EIDLE_DEASSERT_DELAY
  parameter \TX_INT_DATAWIDTH
  parameter \TX_LOOPBACK_DRIVE_HIZ
  parameter \TX_MAINCURSOR_SEL
  parameter \TX_MARGIN_FULL_0
  parameter \TX_MARGIN_FULL_1
  parameter \TX_MARGIN_FULL_2
  parameter \TX_MARGIN_FULL_3
  parameter \TX_MARGIN_FULL_4
  parameter \TX_MARGIN_LOW_0
  parameter \TX_MARGIN_LOW_1
  parameter \TX_MARGIN_LOW_2
  parameter \TX_MARGIN_LOW_3
  parameter \TX_MARGIN_LOW_4
  parameter \TX_QPI_STATUS_EN
  parameter \TX_RXDETECT_CFG
  parameter \TX_RXDETECT_PRECHARGE_TIME
  parameter \TX_RXDETECT_REF
  parameter \TX_XCLK_SEL
  parameter \UCODEER_CLR
  parameter \USE_PCS_CLK_PHASE_SEL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:673"
  wire input 78 \CFGRESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:674"
  wire input 79 \CLKRSVD0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:675"
  wire input 80 \CLKRSVD1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:596"
  wire output 1 \CPLLFBCLKLOST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:597"
  wire output 2 \CPLLLOCK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:676"
  wire input 81 \CPLLLOCKDETCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:677"
  wire input 82 \CPLLLOCKEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:678"
  wire input 83 \CPLLPD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:598"
  wire output 3 \CPLLREFCLKLOST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:844"
  wire width 3 input 249 \CPLLREFCLKSEL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:679"
  wire input 84 \CPLLRESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:680"
  wire input 85 \DMONFIFORESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:681"
  wire input 86 \DMONITORCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:653"
  wire width 15 output 58 \DMONITOROUT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:875"
  wire width 9 input 280 \DRPADDR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:682"
  wire input 87 \DRPCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:834"
  wire width 16 input 239 \DRPDI
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:654"
  wire width 16 output 59 \DRPDO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:683"
  wire input 88 \DRPEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:599"
  wire output 4 \DRPRDY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:684"
  wire input 89 \DRPWE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:600"
  wire output 5 \EYESCANDATAERROR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:685"
  wire input 90 \EYESCANMODE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:686"
  wire input 91 \EYESCANRESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:687"
  wire input 92 \EYESCANTRIGGER
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:688"
  wire input 93 \GTGREFCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:689"
  wire input 94 \GTHRXN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:690"
  wire input 95 \GTHRXP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:601"
  wire output 6 \GTHTXN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:602"
  wire output 7 \GTHTXP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:691"
  wire input 96 \GTNORTHREFCLK0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:692"
  wire input 97 \GTNORTHREFCLK1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:693"
  wire input 98 \GTREFCLK0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:694"
  wire input 99 \GTREFCLK1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:603"
  wire output 8 \GTREFCLKMONITOR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:695"
  wire input 100 \GTRESETSEL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:835"
  wire width 16 input 240 \GTRSVD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:696"
  wire input 101 \GTRXRESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:697"
  wire input 102 \GTSOUTHREFCLK0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:698"
  wire input 103 \GTSOUTHREFCLK1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:699"
  wire input 104 \GTTXRESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:845"
  wire width 3 input 250 \LOOPBACK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:836"
  wire width 16 input 241 \PCSRSVDIN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:859"
  wire width 5 input 264 \PCSRSVDIN2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:655"
  wire width 16 output 60 \PCSRSVDOUT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:604"
  wire output 9 \PHYSTATUS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:860"
  wire width 5 input 265 \PMARSVDIN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:700"
  wire input 105 \QPLLCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:701"
  wire input 106 \QPLLREFCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:702"
  wire input 107 \RESETOVRD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:605"
  wire output 10 \RSOSINTDONE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:703"
  wire input 108 \RX8B10BEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:833"
  wire width 14 input 238 \RXADAPTSELTEST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:704"
  wire input 109 \RXBUFRESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:661"
  wire width 3 output 66 \RXBUFSTATUS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:606"
  wire output 11 \RXBYTEISALIGNED
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:607"
  wire output 12 \RXBYTEREALIGN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:705"
  wire input 110 \RXCDRFREQRESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:706"
  wire input 111 \RXCDRHOLD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:608"
  wire output 13 \RXCDRLOCK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:707"
  wire input 112 \RXCDROVRDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:708"
  wire input 113 \RXCDRRESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:709"
  wire input 114 \RXCDRRESETRSV
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:609"
  wire output 14 \RXCHANBONDSEQ
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:610"
  wire output 15 \RXCHANISALIGNED
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:611"
  wire output 16 \RXCHANREALIGN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:669"
  wire width 8 output 74 \RXCHARISCOMMA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:670"
  wire width 8 output 75 \RXCHARISK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:710"
  wire input 115 \RXCHBONDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:861"
  wire width 5 input 266 \RXCHBONDI
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:846"
  wire width 3 input 251 \RXCHBONDLEVEL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:711"
  wire input 116 \RXCHBONDMASTER
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:663"
  wire width 5 output 68 \RXCHBONDO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:712"
  wire input 117 \RXCHBONDSLAVE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:656"
  wire width 2 output 61 \RXCLKCORCNT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:612"
  wire output 17 \RXCOMINITDET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:613"
  wire output 18 \RXCOMMADET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:713"
  wire input 118 \RXCOMMADETEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:614"
  wire output 19 \RXCOMSASDET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:615"
  wire output 20 \RXCOMWAKEDET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:667"
  wire width 64 output 72 \RXDATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:657"
  wire width 2 output 62 \RXDATAVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:714"
  wire input 119 \RXDDIEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:715"
  wire input 120 \RXDFEAGCHOLD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:716"
  wire input 121 \RXDFEAGCOVRDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:862"
  wire width 5 input 267 \RXDFEAGCTRL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:717"
  wire input 122 \RXDFECM1EN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:718"
  wire input 123 \RXDFELFHOLD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:719"
  wire input 124 \RXDFELFOVRDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:720"
  wire input 125 \RXDFELPMRESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:863"
  wire width 5 input 268 \RXDFESLIDETAP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:721"
  wire input 126 \RXDFESLIDETAPADAPTEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:722"
  wire input 127 \RXDFESLIDETAPHOLD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:867"
  wire width 6 input 272 \RXDFESLIDETAPID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:723"
  wire input 128 \RXDFESLIDETAPINITOVRDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:724"
  wire input 129 \RXDFESLIDETAPONLYADAPTEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:725"
  wire input 130 \RXDFESLIDETAPOVRDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:616"
  wire output 21 \RXDFESLIDETAPSTARTED
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:726"
  wire input 131 \RXDFESLIDETAPSTROBE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:617"
  wire output 22 \RXDFESLIDETAPSTROBEDONE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:618"
  wire output 23 \RXDFESLIDETAPSTROBESTARTED
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:619"
  wire output 24 \RXDFESTADAPTDONE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:727"
  wire input 132 \RXDFETAP2HOLD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:728"
  wire input 133 \RXDFETAP2OVRDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:729"
  wire input 134 \RXDFETAP3HOLD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:730"
  wire input 135 \RXDFETAP3OVRDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:731"
  wire input 136 \RXDFETAP4HOLD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:732"
  wire input 137 \RXDFETAP4OVRDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:733"
  wire input 138 \RXDFETAP5HOLD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:734"
  wire input 139 \RXDFETAP5OVRDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:735"
  wire input 140 \RXDFETAP6HOLD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:736"
  wire input 141 \RXDFETAP6OVRDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:737"
  wire input 142 \RXDFETAP7HOLD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:738"
  wire input 143 \RXDFETAP7OVRDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:739"
  wire input 144 \RXDFEUTHOLD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:740"
  wire input 145 \RXDFEUTOVRDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:741"
  wire input 146 \RXDFEVPHOLD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:742"
  wire input 147 \RXDFEVPOVRDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:743"
  wire input 148 \RXDFEVSEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:744"
  wire input 149 \RXDFEXYDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:671"
  wire width 8 output 76 \RXDISPERR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:745"
  wire input 150 \RXDLYBYPASS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:746"
  wire input 151 \RXDLYEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:747"
  wire input 152 \RXDLYOVRDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:748"
  wire input 153 \RXDLYSRESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:620"
  wire output 25 \RXDLYSRESETDONE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:621"
  wire output 26 \RXELECIDLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:838"
  wire width 2 input 243 \RXELECIDLEMODE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:749"
  wire input 154 \RXGEARBOXSLIP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:666"
  wire width 6 output 71 \RXHEADER
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:658"
  wire width 2 output 63 \RXHEADERVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:750"
  wire input 155 \RXLPMEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:751"
  wire input 156 \RXLPMHFHOLD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:752"
  wire input 157 \RXLPMHFOVRDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:753"
  wire input 158 \RXLPMLFHOLD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:754"
  wire input 159 \RXLPMLFKLOVRDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:755"
  wire input 160 \RXMCOMMAALIGNEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:668"
  wire width 7 output 73 \RXMONITOROUT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:839"
  wire width 2 input 244 \RXMONITORSEL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:672"
  wire width 8 output 77 \RXNOTINTABLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:756"
  wire input 161 \RXOOBRESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:757"
  wire input 162 \RXOSCALRESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:758"
  wire input 163 \RXOSHOLD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:856"
  wire width 4 input 261 \RXOSINTCFG
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:759"
  wire input 164 \RXOSINTEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:760"
  wire input 165 \RXOSINTHOLD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:857"
  wire width 4 input 262 \RXOSINTID0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:761"
  wire input 166 \RXOSINTNTRLEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:762"
  wire input 167 \RXOSINTOVRDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:622"
  wire output 27 \RXOSINTSTARTED
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:763"
  wire input 168 \RXOSINTSTROBE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:623"
  wire output 28 \RXOSINTSTROBEDONE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:624"
  wire output 29 \RXOSINTSTROBESTARTED
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:764"
  wire input 169 \RXOSINTTESTOVRDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:765"
  wire input 170 \RXOSOVRDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:625"
  wire output 30 \RXOUTCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:626"
  wire output 31 \RXOUTCLKFABRIC
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:627"
  wire output 32 \RXOUTCLKPCS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:847"
  wire width 3 input 252 \RXOUTCLKSEL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:766"
  wire input 171 \RXPCOMMAALIGNEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:767"
  wire input 172 \RXPCSRESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:840"
  wire width 2 input 245 \RXPD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:768"
  wire input 173 \RXPHALIGN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:628"
  wire output 33 \RXPHALIGNDONE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:769"
  wire input 174 \RXPHALIGNEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:770"
  wire input 175 \RXPHDLYPD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:771"
  wire input 176 \RXPHDLYRESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:664"
  wire width 5 output 69 \RXPHMONITOR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:772"
  wire input 177 \RXPHOVRDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:665"
  wire width 5 output 70 \RXPHSLIPMONITOR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:773"
  wire input 178 \RXPMARESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:629"
  wire output 34 \RXPMARESETDONE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:774"
  wire input 179 \RXPOLARITY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:775"
  wire input 180 \RXPRBSCNTRESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:630"
  wire output 35 \RXPRBSERR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:848"
  wire width 3 input 253 \RXPRBSSEL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:776"
  wire input 181 \RXQPIEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:631"
  wire output 36 \RXQPISENN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:632"
  wire output 37 \RXQPISENP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:849"
  wire width 3 input 254 \RXRATE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:633"
  wire output 38 \RXRATEDONE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:777"
  wire input 182 \RXRATEMODE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:634"
  wire output 39 \RXRESETDONE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:778"
  wire input 183 \RXSLIDE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:659"
  wire width 2 output 64 \RXSTARTOFSEQ
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:662"
  wire width 3 output 67 \RXSTATUS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:779"
  wire input 184 \RXSYNCALLIN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:635"
  wire output 40 \RXSYNCDONE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:780"
  wire input 185 \RXSYNCIN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:781"
  wire input 186 \RXSYNCMODE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:636"
  wire output 41 \RXSYNCOUT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:841"
  wire width 2 input 246 \RXSYSCLKSEL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:782"
  wire input 187 \RXUSERRDY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:784"
  wire input 189 \RXUSRCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:783"
  wire input 188 \RXUSRCLK2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:637"
  wire output 42 \RXVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:785"
  wire input 190 \SETERRSTATUS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:786"
  wire input 191 \SIGVALIDCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:837"
  wire width 20 input 242 \TSTIN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:871"
  wire width 8 input 276 \TX8B10BBYPASS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:787"
  wire input 192 \TX8B10BEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:850"
  wire width 3 input 255 \TXBUFDIFFCTRL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:660"
  wire width 2 output 65 \TXBUFSTATUS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:872"
  wire width 8 input 277 \TXCHARDISPMODE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:873"
  wire width 8 input 278 \TXCHARDISPVAL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:874"
  wire width 8 input 279 \TXCHARISK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:638"
  wire output 43 \TXCOMFINISH
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:788"
  wire input 193 \TXCOMINIT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:789"
  wire input 194 \TXCOMSAS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:790"
  wire input 195 \TXCOMWAKE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:868"
  wire width 64 input 273 \TXDATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:791"
  wire input 196 \TXDEEMPH
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:792"
  wire input 197 \TXDETECTRX
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:858"
  wire width 4 input 263 \TXDIFFCTRL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:793"
  wire input 198 \TXDIFFPD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:794"
  wire input 199 \TXDLYBYPASS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:795"
  wire input 200 \TXDLYEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:796"
  wire input 201 \TXDLYHOLD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:797"
  wire input 202 \TXDLYOVRDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:798"
  wire input 203 \TXDLYSRESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:639"
  wire output 44 \TXDLYSRESETDONE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:799"
  wire input 204 \TXDLYUPDOWN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:800"
  wire input 205 \TXELECIDLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:640"
  wire output 45 \TXGEARBOXREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:851"
  wire width 3 input 256 \TXHEADER
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:801"
  wire input 206 \TXINHIBIT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:869"
  wire width 7 input 274 \TXMAINCURSOR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:852"
  wire width 3 input 257 \TXMARGIN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:641"
  wire output 46 \TXOUTCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:642"
  wire output 47 \TXOUTCLKFABRIC
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:643"
  wire output 48 \TXOUTCLKPCS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:853"
  wire width 3 input 258 \TXOUTCLKSEL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:802"
  wire input 207 \TXPCSRESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:842"
  wire width 2 input 247 \TXPD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:803"
  wire input 208 \TXPDELECIDLEMODE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:804"
  wire input 209 \TXPHALIGN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:644"
  wire output 49 \TXPHALIGNDONE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:805"
  wire input 210 \TXPHALIGNEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:806"
  wire input 211 \TXPHDLYPD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:807"
  wire input 212 \TXPHDLYRESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:808"
  wire input 213 \TXPHDLYTSTCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:809"
  wire input 214 \TXPHINIT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:645"
  wire output 50 \TXPHINITDONE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:810"
  wire input 215 \TXPHOVRDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:811"
  wire input 216 \TXPIPPMEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:812"
  wire input 217 \TXPIPPMOVRDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:813"
  wire input 218 \TXPIPPMPD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:814"
  wire input 219 \TXPIPPMSEL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:864"
  wire width 5 input 269 \TXPIPPMSTEPSIZE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:815"
  wire input 220 \TXPISOPD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:816"
  wire input 221 \TXPMARESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:646"
  wire output 51 \TXPMARESETDONE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:817"
  wire input 222 \TXPOLARITY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:865"
  wire width 5 input 270 \TXPOSTCURSOR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:818"
  wire input 223 \TXPOSTCURSORINV
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:819"
  wire input 224 \TXPRBSFORCEERR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:854"
  wire width 3 input 259 \TXPRBSSEL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:866"
  wire width 5 input 271 \TXPRECURSOR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:820"
  wire input 225 \TXPRECURSORINV
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:821"
  wire input 226 \TXQPIBIASEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:647"
  wire output 52 \TXQPISENN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:648"
  wire output 53 \TXQPISENP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:822"
  wire input 227 \TXQPISTRONGPDOWN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:823"
  wire input 228 \TXQPIWEAKPUP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:855"
  wire width 3 input 260 \TXRATE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:649"
  wire output 54 \TXRATEDONE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:824"
  wire input 229 \TXRATEMODE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:650"
  wire output 55 \TXRESETDONE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:870"
  wire width 7 input 275 \TXSEQUENCE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:825"
  wire input 230 \TXSTARTSEQ
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:826"
  wire input 231 \TXSWING
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:827"
  wire input 232 \TXSYNCALLIN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:651"
  wire output 56 \TXSYNCDONE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:828"
  wire input 233 \TXSYNCIN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:829"
  wire input 234 \TXSYNCMODE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:652"
  wire output 57 \TXSYNCOUT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:843"
  wire width 2 input 248 \TXSYSCLKSEL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:830"
  wire input 235 \TXUSERRDY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:832"
  wire input 237 \TXUSRCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:831"
  wire input 236 \TXUSRCLK2
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:878"
module \GTHE2_COMMON
  parameter \BIAS_CFG
  parameter \COMMON_CFG
  parameter \IS_DRPCLK_INVERTED
  parameter \IS_GTGREFCLK_INVERTED
  parameter \IS_QPLLLOCKDETCLK_INVERTED
  parameter \QPLL_CFG
  parameter \QPLL_CLKOUT_CFG
  parameter \QPLL_COARSE_FREQ_OVRD
  parameter \QPLL_COARSE_FREQ_OVRD_EN
  parameter \QPLL_CP
  parameter \QPLL_CP_MONITOR_EN
  parameter \QPLL_DMONITOR_SEL
  parameter \QPLL_FBDIV
  parameter \QPLL_FBDIV_MONITOR_EN
  parameter \QPLL_FBDIV_RATIO
  parameter \QPLL_INIT_CFG
  parameter \QPLL_LOCK_CFG
  parameter \QPLL_LPF
  parameter \QPLL_REFCLK_DIV
  parameter \QPLL_RP_COMP
  parameter \QPLL_VTRL_RESET
  parameter \RCAL_CFG
  parameter \RSVD_ATTR0
  parameter \RSVD_ATTR1
  parameter \SIM_QPLLREFCLK_SEL
  parameter \SIM_RESET_SPEEDUP
  parameter \SIM_VERSION
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:916"
  wire input 11 \BGBYPASSB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:917"
  wire input 12 \BGMONITORENB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:918"
  wire input 13 \BGPDB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:939"
  wire width 5 input 34 \BGRCALOVRD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:919"
  wire input 14 \BGRCALOVRDENB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:941"
  wire width 8 input 36 \DRPADDR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:920"
  wire input 15 \DRPCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:936"
  wire width 16 input 31 \DRPDI
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:913"
  wire width 16 output 8 \DRPDO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:921"
  wire input 16 \DRPEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:906"
  wire output 1 \DRPRDY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:922"
  wire input 17 \DRPWE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:923"
  wire input 18 \GTGREFCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:924"
  wire input 19 \GTNORTHREFCLK0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:925"
  wire input 20 \GTNORTHREFCLK1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:926"
  wire input 21 \GTREFCLK0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:927"
  wire input 22 \GTREFCLK1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:928"
  wire input 23 \GTSOUTHREFCLK0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:929"
  wire input 24 \GTSOUTHREFCLK1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:942"
  wire width 8 input 37 \PMARSVD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:914"
  wire width 16 output 9 \PMARSVDOUT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:915"
  wire width 8 output 10 \QPLLDMONITOR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:907"
  wire output 2 \QPLLFBCLKLOST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:908"
  wire output 3 \QPLLLOCK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:930"
  wire input 25 \QPLLLOCKDETCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:931"
  wire input 26 \QPLLLOCKEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:909"
  wire output 4 \QPLLOUTCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:910"
  wire output 5 \QPLLOUTREFCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:932"
  wire input 27 \QPLLOUTRESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:933"
  wire input 28 \QPLLPD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:911"
  wire output 6 \QPLLREFCLKLOST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:938"
  wire width 3 input 33 \QPLLREFCLKSEL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:934"
  wire input 29 \QPLLRESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:937"
  wire width 16 input 32 \QPLLRSVD1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:940"
  wire width 5 input 35 \QPLLRSVD2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:935"
  wire input 30 \RCALENB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:912"
  wire output 7 \REFCLKOUTMONITOR
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:945"
module \GTPE2_CHANNEL
  parameter \ACJTAG_DEBUG_MODE
  parameter \ACJTAG_MODE
  parameter \ACJTAG_RESET
  parameter \ADAPT_CFG0
  parameter \ALIGN_COMMA_DOUBLE
  parameter \ALIGN_COMMA_ENABLE
  parameter \ALIGN_COMMA_WORD
  parameter \ALIGN_MCOMMA_DET
  parameter \ALIGN_MCOMMA_VALUE
  parameter \ALIGN_PCOMMA_DET
  parameter \ALIGN_PCOMMA_VALUE
  parameter \CBCC_DATA_SOURCE_SEL
  parameter \CFOK_CFG
  parameter \CFOK_CFG2
  parameter \CFOK_CFG3
  parameter \CFOK_CFG4
  parameter \CFOK_CFG5
  parameter \CFOK_CFG6
  parameter \CHAN_BOND_KEEP_ALIGN
  parameter \CHAN_BOND_MAX_SKEW
  parameter \CHAN_BOND_SEQ_1_1
  parameter \CHAN_BOND_SEQ_1_2
  parameter \CHAN_BOND_SEQ_1_3
  parameter \CHAN_BOND_SEQ_1_4
  parameter \CHAN_BOND_SEQ_1_ENABLE
  parameter \CHAN_BOND_SEQ_2_1
  parameter \CHAN_BOND_SEQ_2_2
  parameter \CHAN_BOND_SEQ_2_3
  parameter \CHAN_BOND_SEQ_2_4
  parameter \CHAN_BOND_SEQ_2_ENABLE
  parameter \CHAN_BOND_SEQ_2_USE
  parameter \CHAN_BOND_SEQ_LEN
  parameter \CLK_COMMON_SWING
  parameter \CLK_CORRECT_USE
  parameter \CLK_COR_KEEP_IDLE
  parameter \CLK_COR_MAX_LAT
  parameter \CLK_COR_MIN_LAT
  parameter \CLK_COR_PRECEDENCE
  parameter \CLK_COR_REPEAT_WAIT
  parameter \CLK_COR_SEQ_1_1
  parameter \CLK_COR_SEQ_1_2
  parameter \CLK_COR_SEQ_1_3
  parameter \CLK_COR_SEQ_1_4
  parameter \CLK_COR_SEQ_1_ENABLE
  parameter \CLK_COR_SEQ_2_1
  parameter \CLK_COR_SEQ_2_2
  parameter \CLK_COR_SEQ_2_3
  parameter \CLK_COR_SEQ_2_4
  parameter \CLK_COR_SEQ_2_ENABLE
  parameter \CLK_COR_SEQ_2_USE
  parameter \CLK_COR_SEQ_LEN
  parameter \DEC_MCOMMA_DETECT
  parameter \DEC_PCOMMA_DETECT
  parameter \DEC_VALID_COMMA_ONLY
  parameter \DMONITOR_CFG
  parameter \ES_CLK_PHASE_SEL
  parameter \ES_CONTROL
  parameter \ES_ERRDET_EN
  parameter \ES_EYE_SCAN_EN
  parameter \ES_HORZ_OFFSET
  parameter \ES_PMA_CFG
  parameter \ES_PRESCALE
  parameter \ES_QUALIFIER
  parameter \ES_QUAL_MASK
  parameter \ES_SDATA_MASK
  parameter \ES_VERT_OFFSET
  parameter \FTS_DESKEW_SEQ_ENABLE
  parameter \FTS_LANE_DESKEW_CFG
  parameter \FTS_LANE_DESKEW_EN
  parameter \GEARBOX_MODE
  parameter \IS_CLKRSVD0_INVERTED
  parameter \IS_CLKRSVD1_INVERTED
  parameter \IS_DMONITORCLK_INVERTED
  parameter \IS_DRPCLK_INVERTED
  parameter \IS_RXUSRCLK2_INVERTED
  parameter \IS_RXUSRCLK_INVERTED
  parameter \IS_SIGVALIDCLK_INVERTED
  parameter \IS_TXPHDLYTSTCLK_INVERTED
  parameter \IS_TXUSRCLK2_INVERTED
  parameter \IS_TXUSRCLK_INVERTED
  parameter \LOOPBACK_CFG
  parameter \OUTREFCLK_SEL_INV
  parameter \PCS_PCIE_EN
  parameter \PCS_RSVD_ATTR
  parameter \PD_TRANS_TIME_FROM_P2
  parameter \PD_TRANS_TIME_NONE_P2
  parameter \PD_TRANS_TIME_TO_P2
  parameter \PMA_LOOPBACK_CFG
  parameter \PMA_RSV
  parameter \PMA_RSV2
  parameter \PMA_RSV3
  parameter \PMA_RSV4
  parameter \PMA_RSV5
  parameter \PMA_RSV6
  parameter \PMA_RSV7
  parameter \RXBUFRESET_TIME
  parameter \RXBUF_ADDR_MODE
  parameter \RXBUF_EIDLE_HI_CNT
  parameter \RXBUF_EIDLE_LO_CNT
  parameter \RXBUF_EN
  parameter \RXBUF_RESET_ON_CB_CHANGE
  parameter \RXBUF_RESET_ON_COMMAALIGN
  parameter \RXBUF_RESET_ON_EIDLE
  parameter \RXBUF_RESET_ON_RATE_CHANGE
  parameter \RXBUF_THRESH_OVFLW
  parameter \RXBUF_THRESH_OVRD
  parameter \RXBUF_THRESH_UNDFLW
  parameter \RXCDRFREQRESET_TIME
  parameter \RXCDRPHRESET_TIME
  parameter \RXCDR_CFG
  parameter \RXCDR_FR_RESET_ON_EIDLE
  parameter \RXCDR_HOLD_DURING_EIDLE
  parameter \RXCDR_LOCK_CFG
  parameter \RXCDR_PH_RESET_ON_EIDLE
  parameter \RXDLY_CFG
  parameter \RXDLY_LCFG
  parameter \RXDLY_TAP_CFG
  parameter \RXGEARBOX_EN
  parameter \RXISCANRESET_TIME
  parameter \RXLPMRESET_TIME
  parameter \RXLPM_BIAS_STARTUP_DISABLE
  parameter \RXLPM_CFG
  parameter \RXLPM_CFG1
  parameter \RXLPM_CM_CFG
  parameter \RXLPM_GC_CFG
  parameter \RXLPM_GC_CFG2
  parameter \RXLPM_HF_CFG
  parameter \RXLPM_HF_CFG2
  parameter \RXLPM_HF_CFG3
  parameter \RXLPM_HOLD_DURING_EIDLE
  parameter \RXLPM_INCM_CFG
  parameter \RXLPM_IPCM_CFG
  parameter \RXLPM_LF_CFG
  parameter \RXLPM_LF_CFG2
  parameter \RXLPM_OSINT_CFG
  parameter \RXOOB_CFG
  parameter \RXOOB_CLK_CFG
  parameter \RXOSCALRESET_TIME
  parameter \RXOSCALRESET_TIMEOUT
  parameter \RXOUT_DIV
  parameter \RXPCSRESET_TIME
  parameter \RXPHDLY_CFG
  parameter \RXPH_CFG
  parameter \RXPH_MONITOR_SEL
  parameter \RXPI_CFG0
  parameter \RXPI_CFG1
  parameter \RXPI_CFG2
  parameter \RXPMARESET_TIME
  parameter \RXPRBS_ERR_LOOPBACK
  parameter \RXSLIDE_AUTO_WAIT
  parameter \RXSLIDE_MODE
  parameter \RXSYNC_MULTILANE
  parameter \RXSYNC_OVRD
  parameter \RXSYNC_SKIP_DA
  parameter \RX_BIAS_CFG
  parameter \RX_BUFFER_CFG
  parameter \RX_CLK25_DIV
  parameter \RX_CLKMUX_EN
  parameter \RX_CM_SEL
  parameter \RX_CM_TRIM
  parameter \RX_DATA_WIDTH
  parameter \RX_DDI_SEL
  parameter \RX_DEBUG_CFG
  parameter \RX_DEFER_RESET_BUF_EN
  parameter \RX_DISPERR_SEQ_MATCH
  parameter \RX_OS_CFG
  parameter \RX_SIG_VALID_DLY
  parameter \RX_XCLK_SEL
  parameter \SAS_MAX_COM
  parameter \SAS_MIN_COM
  parameter \SATA_BURST_SEQ_LEN
  parameter \SATA_BURST_VAL
  parameter \SATA_EIDLE_VAL
  parameter \SATA_MAX_BURST
  parameter \SATA_MAX_INIT
  parameter \SATA_MAX_WAKE
  parameter \SATA_MIN_BURST
  parameter \SATA_MIN_INIT
  parameter \SATA_MIN_WAKE
  parameter \SATA_PLL_CFG
  parameter \SHOW_REALIGN_COMMA
  parameter \SIM_RECEIVER_DETECT_PASS
  parameter \SIM_RESET_SPEEDUP
  parameter \SIM_TX_EIDLE_DRIVE_LEVEL
  parameter \SIM_VERSION
  parameter \TERM_RCAL_CFG
  parameter \TERM_RCAL_OVRD
  parameter \TRANS_TIME_RATE
  parameter \TST_RSV
  parameter \TXBUF_EN
  parameter \TXBUF_RESET_ON_RATE_CHANGE
  parameter \TXDLY_CFG
  parameter \TXDLY_LCFG
  parameter \TXDLY_TAP_CFG
  parameter \TXGEARBOX_EN
  parameter \TXOOB_CFG
  parameter \TXOUT_DIV
  parameter \TXPCSRESET_TIME
  parameter \TXPHDLY_CFG
  parameter \TXPH_CFG
  parameter \TXPH_MONITOR_SEL
  parameter \TXPI_CFG0
  parameter \TXPI_CFG1
  parameter \TXPI_CFG2
  parameter \TXPI_CFG3
  parameter \TXPI_CFG4
  parameter \TXPI_CFG5
  parameter \TXPI_GREY_SEL
  parameter \TXPI_INVSTROBE_SEL
  parameter \TXPI_PPMCLK_SEL
  parameter \TXPI_PPM_CFG
  parameter \TXPI_SYNFREQ_PPM
  parameter \TXPMARESET_TIME
  parameter \TXSYNC_MULTILANE
  parameter \TXSYNC_OVRD
  parameter \TXSYNC_SKIP_DA
  parameter \TX_CLK25_DIV
  parameter \TX_CLKMUX_EN
  parameter \TX_DATA_WIDTH
  parameter \TX_DEEMPH0
  parameter \TX_DEEMPH1
  parameter \TX_DRIVE_MODE
  parameter \TX_EIDLE_ASSERT_DELAY
  parameter \TX_EIDLE_DEASSERT_DELAY
  parameter \TX_LOOPBACK_DRIVE_HIZ
  parameter \TX_MAINCURSOR_SEL
  parameter \TX_MARGIN_FULL_0
  parameter \TX_MARGIN_FULL_1
  parameter \TX_MARGIN_FULL_2
  parameter \TX_MARGIN_FULL_3
  parameter \TX_MARGIN_FULL_4
  parameter \TX_MARGIN_LOW_0
  parameter \TX_MARGIN_LOW_1
  parameter \TX_MARGIN_LOW_2
  parameter \TX_MARGIN_LOW_3
  parameter \TX_MARGIN_LOW_4
  parameter \TX_PREDRIVER_MODE
  parameter \TX_RXDETECT_CFG
  parameter \TX_RXDETECT_REF
  parameter \TX_XCLK_SEL
  parameter \UCODEER_CLR
  parameter \USE_PCS_CLK_PHASE_SEL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1254"
  wire input 67 \CFGRESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1255"
  wire input 68 \CLKRSVD0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1256"
  wire input 69 \CLKRSVD1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1257"
  wire input 70 \DMONFIFORESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1258"
  wire input 71 \DMONITORCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1236"
  wire width 15 output 49 \DMONITOROUT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1414"
  wire width 9 input 227 \DRPADDR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1259"
  wire input 72 \DRPCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1380"
  wire width 16 input 193 \DRPDI
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1237"
  wire width 16 output 50 \DRPDO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1260"
  wire input 73 \DRPEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1188"
  wire output 1 \DRPRDY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1261"
  wire input 74 \DRPWE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1189"
  wire output 2 \EYESCANDATAERROR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1262"
  wire input 75 \EYESCANMODE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1263"
  wire input 76 \EYESCANRESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1264"
  wire input 77 \EYESCANTRIGGER
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1265"
  wire input 78 \GTPRXN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1266"
  wire input 79 \GTPRXP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1190"
  wire output 3 \GTPTXN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1191"
  wire output 4 \GTPTXP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1267"
  wire input 80 \GTRESETSEL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1381"
  wire width 16 input 194 \GTRSVD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1268"
  wire input 81 \GTRXRESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1269"
  wire input 82 \GTTXRESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1389"
  wire width 3 input 202 \LOOPBACK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1382"
  wire width 16 input 195 \PCSRSVDIN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1238"
  wire width 16 output 51 \PCSRSVDOUT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1192"
  wire output 5 \PHYSTATUS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1270"
  wire input 83 \PLL0CLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1271"
  wire input 84 \PLL0REFCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1272"
  wire input 85 \PLL1CLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1273"
  wire input 86 \PLL1REFCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1274"
  wire input 87 \PMARSVDIN0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1275"
  wire input 88 \PMARSVDIN1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1276"
  wire input 89 \PMARSVDIN2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1277"
  wire input 90 \PMARSVDIN3
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1278"
  wire input 91 \PMARSVDIN4
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1193"
  wire output 6 \PMARSVDOUT0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1194"
  wire output 7 \PMARSVDOUT1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1279"
  wire input 92 \RESETOVRD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1280"
  wire input 93 \RX8B10BEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1379"
  wire width 14 input 192 \RXADAPTSELTEST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1281"
  wire input 94 \RXBUFRESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1243"
  wire width 3 output 56 \RXBUFSTATUS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1195"
  wire output 8 \RXBYTEISALIGNED
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1196"
  wire output 9 \RXBYTEREALIGN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1282"
  wire input 95 \RXCDRFREQRESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1283"
  wire input 96 \RXCDRHOLD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1197"
  wire output 10 \RXCDRLOCK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1284"
  wire input 97 \RXCDROVRDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1285"
  wire input 98 \RXCDRRESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1286"
  wire input 99 \RXCDRRESETRSV
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1198"
  wire output 11 \RXCHANBONDSEQ
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1199"
  wire output 12 \RXCHANISALIGNED
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1200"
  wire output 13 \RXCHANREALIGN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1247"
  wire width 4 output 60 \RXCHARISCOMMA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1248"
  wire width 4 output 61 \RXCHARISK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1287"
  wire input 100 \RXCHBONDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1401"
  wire width 4 input 214 \RXCHBONDI
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1390"
  wire width 3 input 203 \RXCHBONDLEVEL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1288"
  wire input 101 \RXCHBONDMASTER
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1249"
  wire width 4 output 62 \RXCHBONDO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1289"
  wire input 102 \RXCHBONDSLAVE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1239"
  wire width 2 output 52 \RXCLKCORCNT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1201"
  wire output 14 \RXCOMINITDET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1202"
  wire output 15 \RXCOMMADET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1290"
  wire input 103 \RXCOMMADETEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1203"
  wire output 16 \RXCOMSASDET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1204"
  wire output 17 \RXCOMWAKEDET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1246"
  wire width 32 output 59 \RXDATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1240"
  wire width 2 output 53 \RXDATAVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1291"
  wire input 104 \RXDDIEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1292"
  wire input 105 \RXDFEXYDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1250"
  wire width 4 output 63 \RXDISPERR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1293"
  wire input 106 \RXDLYBYPASS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1294"
  wire input 107 \RXDLYEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1295"
  wire input 108 \RXDLYOVRDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1296"
  wire input 109 \RXDLYSRESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1205"
  wire output 18 \RXDLYSRESETDONE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1206"
  wire output 19 \RXELECIDLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1384"
  wire width 2 input 197 \RXELECIDLEMODE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1297"
  wire input 110 \RXGEARBOXSLIP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1244"
  wire width 3 output 57 \RXHEADER
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1207"
  wire output 20 \RXHEADERVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1298"
  wire input 111 \RXLPMHFHOLD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1299"
  wire input 112 \RXLPMHFOVRDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1300"
  wire input 113 \RXLPMLFHOLD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1301"
  wire input 114 \RXLPMLFOVRDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1302"
  wire input 115 \RXLPMOSINTNTRLEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1303"
  wire input 116 \RXLPMRESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1304"
  wire input 117 \RXMCOMMAALIGNEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1251"
  wire width 4 output 64 \RXNOTINTABLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1305"
  wire input 118 \RXOOBRESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1306"
  wire input 119 \RXOSCALRESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1307"
  wire input 120 \RXOSHOLD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1402"
  wire width 4 input 215 \RXOSINTCFG
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1208"
  wire output 21 \RXOSINTDONE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1308"
  wire input 121 \RXOSINTEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1309"
  wire input 122 \RXOSINTHOLD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1403"
  wire width 4 input 216 \RXOSINTID0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1310"
  wire input 123 \RXOSINTNTRLEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1311"
  wire input 124 \RXOSINTOVRDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1312"
  wire input 125 \RXOSINTPD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1209"
  wire output 22 \RXOSINTSTARTED
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1313"
  wire input 126 \RXOSINTSTROBE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1210"
  wire output 23 \RXOSINTSTROBEDONE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1211"
  wire output 24 \RXOSINTSTROBESTARTED
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1314"
  wire input 127 \RXOSINTTESTOVRDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1315"
  wire input 128 \RXOSOVRDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1212"
  wire output 25 \RXOUTCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1213"
  wire output 26 \RXOUTCLKFABRIC
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1214"
  wire output 27 \RXOUTCLKPCS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1391"
  wire width 3 input 204 \RXOUTCLKSEL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1316"
  wire input 129 \RXPCOMMAALIGNEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1317"
  wire input 130 \RXPCSRESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1385"
  wire width 2 input 198 \RXPD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1318"
  wire input 131 \RXPHALIGN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1215"
  wire output 28 \RXPHALIGNDONE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1319"
  wire input 132 \RXPHALIGNEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1320"
  wire input 133 \RXPHDLYPD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1321"
  wire input 134 \RXPHDLYRESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1252"
  wire width 5 output 65 \RXPHMONITOR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1322"
  wire input 135 \RXPHOVRDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1253"
  wire width 5 output 66 \RXPHSLIPMONITOR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1323"
  wire input 136 \RXPMARESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1216"
  wire output 29 \RXPMARESETDONE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1324"
  wire input 137 \RXPOLARITY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1325"
  wire input 138 \RXPRBSCNTRESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1217"
  wire output 30 \RXPRBSERR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1392"
  wire width 3 input 205 \RXPRBSSEL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1393"
  wire width 3 input 206 \RXRATE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1218"
  wire output 31 \RXRATEDONE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1326"
  wire input 139 \RXRATEMODE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1219"
  wire output 32 \RXRESETDONE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1327"
  wire input 140 \RXSLIDE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1241"
  wire width 2 output 54 \RXSTARTOFSEQ
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1245"
  wire width 3 output 58 \RXSTATUS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1328"
  wire input 141 \RXSYNCALLIN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1220"
  wire output 33 \RXSYNCDONE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1329"
  wire input 142 \RXSYNCIN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1330"
  wire input 143 \RXSYNCMODE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1221"
  wire output 34 \RXSYNCOUT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1386"
  wire width 2 input 199 \RXSYSCLKSEL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1331"
  wire input 144 \RXUSERRDY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1333"
  wire input 146 \RXUSRCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1332"
  wire input 145 \RXUSRCLK2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1222"
  wire output 35 \RXVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1334"
  wire input 147 \SETERRSTATUS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1335"
  wire input 148 \SIGVALIDCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1383"
  wire width 20 input 196 \TSTIN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1404"
  wire width 4 input 217 \TX8B10BBYPASS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1336"
  wire input 149 \TX8B10BEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1394"
  wire width 3 input 207 \TXBUFDIFFCTRL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1242"
  wire width 2 output 55 \TXBUFSTATUS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1405"
  wire width 4 input 218 \TXCHARDISPMODE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1406"
  wire width 4 input 219 \TXCHARDISPVAL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1407"
  wire width 4 input 220 \TXCHARISK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1223"
  wire output 36 \TXCOMFINISH
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1337"
  wire input 150 \TXCOMINIT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1338"
  wire input 151 \TXCOMSAS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1339"
  wire input 152 \TXCOMWAKE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1400"
  wire width 32 input 213 \TXDATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1340"
  wire input 153 \TXDEEMPH
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1341"
  wire input 154 \TXDETECTRX
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1408"
  wire width 4 input 221 \TXDIFFCTRL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1342"
  wire input 155 \TXDIFFPD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1343"
  wire input 156 \TXDLYBYPASS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1344"
  wire input 157 \TXDLYEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1345"
  wire input 158 \TXDLYHOLD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1346"
  wire input 159 \TXDLYOVRDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1347"
  wire input 160 \TXDLYSRESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1224"
  wire output 37 \TXDLYSRESETDONE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1348"
  wire input 161 \TXDLYUPDOWN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1349"
  wire input 162 \TXELECIDLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1225"
  wire output 38 \TXGEARBOXREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1395"
  wire width 3 input 208 \TXHEADER
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1350"
  wire input 163 \TXINHIBIT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1412"
  wire width 7 input 225 \TXMAINCURSOR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1396"
  wire width 3 input 209 \TXMARGIN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1226"
  wire output 39 \TXOUTCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1227"
  wire output 40 \TXOUTCLKFABRIC
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1228"
  wire output 41 \TXOUTCLKPCS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1397"
  wire width 3 input 210 \TXOUTCLKSEL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1351"
  wire input 164 \TXPCSRESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1387"
  wire width 2 input 200 \TXPD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1352"
  wire input 165 \TXPDELECIDLEMODE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1353"
  wire input 166 \TXPHALIGN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1229"
  wire output 42 \TXPHALIGNDONE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1354"
  wire input 167 \TXPHALIGNEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1355"
  wire input 168 \TXPHDLYPD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1356"
  wire input 169 \TXPHDLYRESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1357"
  wire input 170 \TXPHDLYTSTCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1358"
  wire input 171 \TXPHINIT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1230"
  wire output 43 \TXPHINITDONE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1359"
  wire input 172 \TXPHOVRDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1360"
  wire input 173 \TXPIPPMEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1361"
  wire input 174 \TXPIPPMOVRDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1362"
  wire input 175 \TXPIPPMPD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1363"
  wire input 176 \TXPIPPMSEL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1409"
  wire width 5 input 222 \TXPIPPMSTEPSIZE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1364"
  wire input 177 \TXPISOPD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1365"
  wire input 178 \TXPMARESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1231"
  wire output 44 \TXPMARESETDONE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1366"
  wire input 179 \TXPOLARITY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1410"
  wire width 5 input 223 \TXPOSTCURSOR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1367"
  wire input 180 \TXPOSTCURSORINV
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1368"
  wire input 181 \TXPRBSFORCEERR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1398"
  wire width 3 input 211 \TXPRBSSEL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1411"
  wire width 5 input 224 \TXPRECURSOR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1369"
  wire input 182 \TXPRECURSORINV
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1399"
  wire width 3 input 212 \TXRATE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1232"
  wire output 45 \TXRATEDONE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1370"
  wire input 183 \TXRATEMODE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1233"
  wire output 46 \TXRESETDONE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1413"
  wire width 7 input 226 \TXSEQUENCE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1371"
  wire input 184 \TXSTARTSEQ
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1372"
  wire input 185 \TXSWING
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1373"
  wire input 186 \TXSYNCALLIN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1234"
  wire output 47 \TXSYNCDONE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1374"
  wire input 187 \TXSYNCIN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1375"
  wire input 188 \TXSYNCMODE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1235"
  wire output 48 \TXSYNCOUT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1388"
  wire width 2 input 201 \TXSYSCLKSEL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1376"
  wire input 189 \TXUSERRDY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1378"
  wire input 191 \TXUSRCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1377"
  wire input 190 \TXUSRCLK2
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1417"
module \GTPE2_COMMON
  parameter \BIAS_CFG
  parameter \COMMON_CFG
  parameter \IS_DRPCLK_INVERTED
  parameter \IS_GTGREFCLK0_INVERTED
  parameter \IS_GTGREFCLK1_INVERTED
  parameter \IS_PLL0LOCKDETCLK_INVERTED
  parameter \IS_PLL1LOCKDETCLK_INVERTED
  parameter \PLL0_CFG
  parameter \PLL0_DMON_CFG
  parameter \PLL0_FBDIV
  parameter \PLL0_FBDIV_45
  parameter \PLL0_INIT_CFG
  parameter \PLL0_LOCK_CFG
  parameter \PLL0_REFCLK_DIV
  parameter \PLL1_CFG
  parameter \PLL1_DMON_CFG
  parameter \PLL1_FBDIV
  parameter \PLL1_FBDIV_45
  parameter \PLL1_INIT_CFG
  parameter \PLL1_LOCK_CFG
  parameter \PLL1_REFCLK_DIV
  parameter \PLL_CLKOUT_CFG
  parameter \RSVD_ATTR0
  parameter \RSVD_ATTR1
  parameter \SIM_PLL0REFCLK_SEL
  parameter \SIM_PLL1REFCLK_SEL
  parameter \SIM_RESET_SPEEDUP
  parameter \SIM_VERSION
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1462"
  wire input 17 \BGBYPASSB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1463"
  wire input 18 \BGMONITORENB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1464"
  wire input 19 \BGPDB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1490"
  wire width 5 input 45 \BGRCALOVRD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1465"
  wire input 20 \BGRCALOVRDENB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1461"
  wire width 8 output 16 \DMONITOROUT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1492"
  wire width 8 input 47 \DRPADDR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1466"
  wire input 21 \DRPCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1486"
  wire width 16 input 41 \DRPDI
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1459"
  wire width 16 output 14 \DRPDO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1467"
  wire input 22 \DRPEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1446"
  wire output 1 \DRPRDY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1468"
  wire input 23 \DRPWE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1469"
  wire input 24 \GTEASTREFCLK0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1470"
  wire input 25 \GTEASTREFCLK1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1471"
  wire input 26 \GTGREFCLK0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1472"
  wire input 27 \GTGREFCLK1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1473"
  wire input 28 \GTREFCLK0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1474"
  wire input 29 \GTREFCLK1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1475"
  wire input 30 \GTWESTREFCLK0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1476"
  wire input 31 \GTWESTREFCLK1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1447"
  wire output 2 \PLL0FBCLKLOST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1448"
  wire output 3 \PLL0LOCK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1477"
  wire input 32 \PLL0LOCKDETCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1478"
  wire input 33 \PLL0LOCKEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1449"
  wire output 4 \PLL0OUTCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1450"
  wire output 5 \PLL0OUTREFCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1479"
  wire input 34 \PLL0PD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1451"
  wire output 6 \PLL0REFCLKLOST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1488"
  wire width 3 input 43 \PLL0REFCLKSEL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1480"
  wire input 35 \PLL0RESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1452"
  wire output 7 \PLL1FBCLKLOST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1453"
  wire output 8 \PLL1LOCK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1481"
  wire input 36 \PLL1LOCKDETCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1482"
  wire input 37 \PLL1LOCKEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1454"
  wire output 9 \PLL1OUTCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1455"
  wire output 10 \PLL1OUTREFCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1483"
  wire input 38 \PLL1PD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1456"
  wire output 11 \PLL1REFCLKLOST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1489"
  wire width 3 input 44 \PLL1REFCLKSEL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1484"
  wire input 39 \PLL1RESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1487"
  wire width 16 input 42 \PLLRSVD1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1491"
  wire width 5 input 46 \PLLRSVD2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1493"
  wire width 8 input 48 \PMARSVD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1460"
  wire width 16 output 15 \PMARSVDOUT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1485"
  wire input 40 \RCALENB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1457"
  wire output 12 \REFCLKOUTMONITOR0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1458"
  wire output 13 \REFCLKOUTMONITOR1
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1496"
module \GTXE2_CHANNEL
  parameter \ALIGN_COMMA_DOUBLE
  parameter \ALIGN_COMMA_ENABLE
  parameter \ALIGN_COMMA_WORD
  parameter \ALIGN_MCOMMA_DET
  parameter \ALIGN_MCOMMA_VALUE
  parameter \ALIGN_PCOMMA_DET
  parameter \ALIGN_PCOMMA_VALUE
  parameter \CBCC_DATA_SOURCE_SEL
  parameter \CHAN_BOND_KEEP_ALIGN
  parameter \CHAN_BOND_MAX_SKEW
  parameter \CHAN_BOND_SEQ_1_1
  parameter \CHAN_BOND_SEQ_1_2
  parameter \CHAN_BOND_SEQ_1_3
  parameter \CHAN_BOND_SEQ_1_4
  parameter \CHAN_BOND_SEQ_1_ENABLE
  parameter \CHAN_BOND_SEQ_2_1
  parameter \CHAN_BOND_SEQ_2_2
  parameter \CHAN_BOND_SEQ_2_3
  parameter \CHAN_BOND_SEQ_2_4
  parameter \CHAN_BOND_SEQ_2_ENABLE
  parameter \CHAN_BOND_SEQ_2_USE
  parameter \CHAN_BOND_SEQ_LEN
  parameter \CLK_CORRECT_USE
  parameter \CLK_COR_KEEP_IDLE
  parameter \CLK_COR_MAX_LAT
  parameter \CLK_COR_MIN_LAT
  parameter \CLK_COR_PRECEDENCE
  parameter \CLK_COR_REPEAT_WAIT
  parameter \CLK_COR_SEQ_1_1
  parameter \CLK_COR_SEQ_1_2
  parameter \CLK_COR_SEQ_1_3
  parameter \CLK_COR_SEQ_1_4
  parameter \CLK_COR_SEQ_1_ENABLE
  parameter \CLK_COR_SEQ_2_1
  parameter \CLK_COR_SEQ_2_2
  parameter \CLK_COR_SEQ_2_3
  parameter \CLK_COR_SEQ_2_4
  parameter \CLK_COR_SEQ_2_ENABLE
  parameter \CLK_COR_SEQ_2_USE
  parameter \CLK_COR_SEQ_LEN
  parameter \CPLL_CFG
  parameter \CPLL_FBDIV
  parameter \CPLL_FBDIV_45
  parameter \CPLL_INIT_CFG
  parameter \CPLL_LOCK_CFG
  parameter \CPLL_REFCLK_DIV
  parameter \DEC_MCOMMA_DETECT
  parameter \DEC_PCOMMA_DETECT
  parameter \DEC_VALID_COMMA_ONLY
  parameter \DMONITOR_CFG
  parameter \ES_CONTROL
  parameter \ES_ERRDET_EN
  parameter \ES_EYE_SCAN_EN
  parameter \ES_HORZ_OFFSET
  parameter \ES_PMA_CFG
  parameter \ES_PRESCALE
  parameter \ES_QUALIFIER
  parameter \ES_QUAL_MASK
  parameter \ES_SDATA_MASK
  parameter \ES_VERT_OFFSET
  parameter \FTS_DESKEW_SEQ_ENABLE
  parameter \FTS_LANE_DESKEW_CFG
  parameter \FTS_LANE_DESKEW_EN
  parameter \GEARBOX_MODE
  parameter \IS_CPLLLOCKDETCLK_INVERTED
  parameter \IS_DRPCLK_INVERTED
  parameter \IS_GTGREFCLK_INVERTED
  parameter \IS_RXUSRCLK2_INVERTED
  parameter \IS_RXUSRCLK_INVERTED
  parameter \IS_TXPHDLYTSTCLK_INVERTED
  parameter \IS_TXUSRCLK2_INVERTED
  parameter \IS_TXUSRCLK_INVERTED
  parameter \OUTREFCLK_SEL_INV
  parameter \PCS_PCIE_EN
  parameter \PCS_RSVD_ATTR
  parameter \PD_TRANS_TIME_FROM_P2
  parameter \PD_TRANS_TIME_NONE_P2
  parameter \PD_TRANS_TIME_TO_P2
  parameter \PMA_RSV
  parameter \PMA_RSV2
  parameter \PMA_RSV3
  parameter \PMA_RSV4
  parameter \RXBUFRESET_TIME
  parameter \RXBUF_ADDR_MODE
  parameter \RXBUF_EIDLE_HI_CNT
  parameter \RXBUF_EIDLE_LO_CNT
  parameter \RXBUF_EN
  parameter \RXBUF_RESET_ON_CB_CHANGE
  parameter \RXBUF_RESET_ON_COMMAALIGN
  parameter \RXBUF_RESET_ON_EIDLE
  parameter \RXBUF_RESET_ON_RATE_CHANGE
  parameter \RXBUF_THRESH_OVFLW
  parameter \RXBUF_THRESH_OVRD
  parameter \RXBUF_THRESH_UNDFLW
  parameter \RXCDRFREQRESET_TIME
  parameter \RXCDRPHRESET_TIME
  parameter \RXCDR_CFG
  parameter \RXCDR_FR_RESET_ON_EIDLE
  parameter \RXCDR_HOLD_DURING_EIDLE
  parameter \RXCDR_LOCK_CFG
  parameter \RXCDR_PH_RESET_ON_EIDLE
  parameter \RXDFELPMRESET_TIME
  parameter \RXDLY_CFG
  parameter \RXDLY_LCFG
  parameter \RXDLY_TAP_CFG
  parameter \RXGEARBOX_EN
  parameter \RXISCANRESET_TIME
  parameter \RXLPM_HF_CFG
  parameter \RXLPM_LF_CFG
  parameter \RXOOB_CFG
  parameter \RXOUT_DIV
  parameter \RXPCSRESET_TIME
  parameter \RXPHDLY_CFG
  parameter \RXPH_CFG
  parameter \RXPH_MONITOR_SEL
  parameter \RXPMARESET_TIME
  parameter \RXPRBS_ERR_LOOPBACK
  parameter \RXSLIDE_AUTO_WAIT
  parameter \RXSLIDE_MODE
  parameter \RX_BIAS_CFG
  parameter \RX_BUFFER_CFG
  parameter \RX_CLK25_DIV
  parameter \RX_CLKMUX_PD
  parameter \RX_CM_SEL
  parameter \RX_CM_TRIM
  parameter \RX_DATA_WIDTH
  parameter \RX_DDI_SEL
  parameter \RX_DEBUG_CFG
  parameter \RX_DEFER_RESET_BUF_EN
  parameter \RX_DFE_GAIN_CFG
  parameter \RX_DFE_H2_CFG
  parameter \RX_DFE_H3_CFG
  parameter \RX_DFE_H4_CFG
  parameter \RX_DFE_H5_CFG
  parameter \RX_DFE_KL_CFG
  parameter \RX_DFE_KL_CFG2
  parameter \RX_DFE_LPM_CFG
  parameter \RX_DFE_LPM_HOLD_DURING_EIDLE
  parameter \RX_DFE_UT_CFG
  parameter \RX_DFE_VP_CFG
  parameter \RX_DFE_XYD_CFG
  parameter \RX_DISPERR_SEQ_MATCH
  parameter \RX_INT_DATAWIDTH
  parameter \RX_OS_CFG
  parameter \RX_SIG_VALID_DLY
  parameter \RX_XCLK_SEL
  parameter \SAS_MAX_COM
  parameter \SAS_MIN_COM
  parameter \SATA_BURST_SEQ_LEN
  parameter \SATA_BURST_VAL
  parameter \SATA_CPLL_CFG
  parameter \SATA_EIDLE_VAL
  parameter \SATA_MAX_BURST
  parameter \SATA_MAX_INIT
  parameter \SATA_MAX_WAKE
  parameter \SATA_MIN_BURST
  parameter \SATA_MIN_INIT
  parameter \SATA_MIN_WAKE
  parameter \SHOW_REALIGN_COMMA
  parameter \SIM_CPLLREFCLK_SEL
  parameter \SIM_RECEIVER_DETECT_PASS
  parameter \SIM_RESET_SPEEDUP
  parameter \SIM_TX_EIDLE_DRIVE_LEVEL
  parameter \SIM_VERSION
  parameter \TERM_RCAL_CFG
  parameter \TERM_RCAL_OVRD
  parameter \TRANS_TIME_RATE
  parameter \TST_RSV
  parameter \TXBUF_EN
  parameter \TXBUF_RESET_ON_RATE_CHANGE
  parameter \TXDLY_CFG
  parameter \TXDLY_LCFG
  parameter \TXDLY_TAP_CFG
  parameter \TXGEARBOX_EN
  parameter \TXOUT_DIV
  parameter \TXPCSRESET_TIME
  parameter \TXPHDLY_CFG
  parameter \TXPH_CFG
  parameter \TXPH_MONITOR_SEL
  parameter \TXPMARESET_TIME
  parameter \TX_CLK25_DIV
  parameter \TX_CLKMUX_PD
  parameter \TX_DATA_WIDTH
  parameter \TX_DEEMPH0
  parameter \TX_DEEMPH1
  parameter \TX_DRIVE_MODE
  parameter \TX_EIDLE_ASSERT_DELAY
  parameter \TX_EIDLE_DEASSERT_DELAY
  parameter \TX_INT_DATAWIDTH
  parameter \TX_LOOPBACK_DRIVE_HIZ
  parameter \TX_MAINCURSOR_SEL
  parameter \TX_MARGIN_FULL_0
  parameter \TX_MARGIN_FULL_1
  parameter \TX_MARGIN_FULL_2
  parameter \TX_MARGIN_FULL_3
  parameter \TX_MARGIN_FULL_4
  parameter \TX_MARGIN_LOW_0
  parameter \TX_MARGIN_LOW_1
  parameter \TX_MARGIN_LOW_2
  parameter \TX_MARGIN_LOW_3
  parameter \TX_MARGIN_LOW_4
  parameter \TX_PREDRIVER_MODE
  parameter \TX_QPI_STATUS_EN
  parameter \TX_RXDETECT_CFG
  parameter \TX_RXDETECT_REF
  parameter \TX_XCLK_SEL
  parameter \UCODEER_CLR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1768"
  wire input 65 \CFGRESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1918"
  wire width 4 input 215 \CLKRSVD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1704"
  wire output 1 \CPLLFBCLKLOST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1705"
  wire output 2 \CPLLLOCK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1769"
  wire input 66 \CPLLLOCKDETCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1770"
  wire input 67 \CPLLLOCKEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1771"
  wire input 68 \CPLLPD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1706"
  wire output 3 \CPLLREFCLKLOST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1906"
  wire width 3 input 203 \CPLLREFCLKSEL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1772"
  wire input 69 \CPLLRESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1762"
  wire width 8 output 59 \DMONITOROUT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1933"
  wire width 9 input 230 \DRPADDR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1773"
  wire input 70 \DRPCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1896"
  wire width 16 input 193 \DRPDI
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1750"
  wire width 16 output 47 \DRPDO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1774"
  wire input 71 \DRPEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1707"
  wire output 4 \DRPRDY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1775"
  wire input 72 \DRPWE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1708"
  wire output 5 \EYESCANDATAERROR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1776"
  wire input 73 \EYESCANMODE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1777"
  wire input 74 \EYESCANRESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1778"
  wire input 75 \EYESCANTRIGGER
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1779"
  wire input 76 \GTGREFCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1780"
  wire input 77 \GTNORTHREFCLK0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1781"
  wire input 78 \GTNORTHREFCLK1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1782"
  wire input 79 \GTREFCLK0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1783"
  wire input 80 \GTREFCLK1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1709"
  wire output 6 \GTREFCLKMONITOR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1784"
  wire input 81 \GTRESETSEL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1897"
  wire width 16 input 194 \GTRSVD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1785"
  wire input 82 \GTRXRESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1786"
  wire input 83 \GTSOUTHREFCLK0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1787"
  wire input 84 \GTSOUTHREFCLK1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1788"
  wire input 85 \GTTXRESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1789"
  wire input 86 \GTXRXN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1790"
  wire input 87 \GTXRXP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1710"
  wire output 7 \GTXTXN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1711"
  wire output 8 \GTXTXP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1907"
  wire width 3 input 204 \LOOPBACK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1898"
  wire width 16 input 195 \PCSRSVDIN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1920"
  wire width 5 input 217 \PCSRSVDIN2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1751"
  wire width 16 output 48 \PCSRSVDOUT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1712"
  wire output 9 \PHYSTATUS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1922"
  wire width 5 input 219 \PMARSVDIN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1921"
  wire width 5 input 218 \PMARSVDIN2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1791"
  wire input 88 \QPLLCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1792"
  wire input 89 \QPLLREFCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1793"
  wire input 90 \RESETOVRD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1794"
  wire input 91 \RX8B10BEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1795"
  wire input 92 \RXBUFRESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1754"
  wire width 3 output 51 \RXBUFSTATUS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1713"
  wire output 10 \RXBYTEISALIGNED
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1714"
  wire output 11 \RXBYTEREALIGN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1796"
  wire input 93 \RXCDRFREQRESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1797"
  wire input 94 \RXCDRHOLD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1715"
  wire output 12 \RXCDRLOCK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1798"
  wire input 95 \RXCDROVRDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1799"
  wire input 96 \RXCDRRESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1800"
  wire input 97 \RXCDRRESETRSV
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1716"
  wire output 13 \RXCHANBONDSEQ
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1717"
  wire output 14 \RXCHANISALIGNED
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1718"
  wire output 15 \RXCHANREALIGN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1763"
  wire width 8 output 60 \RXCHARISCOMMA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1764"
  wire width 8 output 61 \RXCHARISK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1801"
  wire input 98 \RXCHBONDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1923"
  wire width 5 input 220 \RXCHBONDI
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1908"
  wire width 3 input 205 \RXCHBONDLEVEL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1802"
  wire input 99 \RXCHBONDMASTER
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1757"
  wire width 5 output 54 \RXCHBONDO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1803"
  wire input 100 \RXCHBONDSLAVE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1752"
  wire width 2 output 49 \RXCLKCORCNT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1719"
  wire output 16 \RXCOMINITDET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1720"
  wire output 17 \RXCOMMADET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1804"
  wire input 101 \RXCOMMADETEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1721"
  wire output 18 \RXCOMSASDET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1722"
  wire output 19 \RXCOMWAKEDET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1760"
  wire width 64 output 57 \RXDATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1723"
  wire output 20 \RXDATAVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1805"
  wire input 102 \RXDDIEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1806"
  wire input 103 \RXDFEAGCHOLD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1807"
  wire input 104 \RXDFEAGCOVRDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1808"
  wire input 105 \RXDFECM1EN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1809"
  wire input 106 \RXDFELFHOLD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1810"
  wire input 107 \RXDFELFOVRDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1811"
  wire input 108 \RXDFELPMRESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1812"
  wire input 109 \RXDFETAP2HOLD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1813"
  wire input 110 \RXDFETAP2OVRDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1814"
  wire input 111 \RXDFETAP3HOLD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1815"
  wire input 112 \RXDFETAP3OVRDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1816"
  wire input 113 \RXDFETAP4HOLD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1817"
  wire input 114 \RXDFETAP4OVRDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1818"
  wire input 115 \RXDFETAP5HOLD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1819"
  wire input 116 \RXDFETAP5OVRDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1820"
  wire input 117 \RXDFEUTHOLD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1821"
  wire input 118 \RXDFEUTOVRDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1822"
  wire input 119 \RXDFEVPHOLD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1823"
  wire input 120 \RXDFEVPOVRDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1824"
  wire input 121 \RXDFEVSEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1825"
  wire input 122 \RXDFEXYDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1826"
  wire input 123 \RXDFEXYDHOLD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1827"
  wire input 124 \RXDFEXYDOVRDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1765"
  wire width 8 output 62 \RXDISPERR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1828"
  wire input 125 \RXDLYBYPASS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1829"
  wire input 126 \RXDLYEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1830"
  wire input 127 \RXDLYOVRDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1831"
  wire input 128 \RXDLYSRESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1724"
  wire output 21 \RXDLYSRESETDONE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1725"
  wire output 22 \RXELECIDLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1900"
  wire width 2 input 197 \RXELECIDLEMODE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1832"
  wire input 129 \RXGEARBOXSLIP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1755"
  wire width 3 output 52 \RXHEADER
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1726"
  wire output 23 \RXHEADERVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1833"
  wire input 130 \RXLPMEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1834"
  wire input 131 \RXLPMHFHOLD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1835"
  wire input 132 \RXLPMHFOVRDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1836"
  wire input 133 \RXLPMLFHOLD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1837"
  wire input 134 \RXLPMLFKLOVRDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1838"
  wire input 135 \RXMCOMMAALIGNEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1761"
  wire width 7 output 58 \RXMONITOROUT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1901"
  wire width 2 input 198 \RXMONITORSEL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1766"
  wire width 8 output 63 \RXNOTINTABLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1839"
  wire input 136 \RXOOBRESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1840"
  wire input 137 \RXOSHOLD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1841"
  wire input 138 \RXOSOVRDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1727"
  wire output 24 \RXOUTCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1728"
  wire output 25 \RXOUTCLKFABRIC
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1729"
  wire output 26 \RXOUTCLKPCS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1909"
  wire width 3 input 206 \RXOUTCLKSEL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1842"
  wire input 139 \RXPCOMMAALIGNEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1843"
  wire input 140 \RXPCSRESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1902"
  wire width 2 input 199 \RXPD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1844"
  wire input 141 \RXPHALIGN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1730"
  wire output 27 \RXPHALIGNDONE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1845"
  wire input 142 \RXPHALIGNEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1846"
  wire input 143 \RXPHDLYPD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1847"
  wire input 144 \RXPHDLYRESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1758"
  wire width 5 output 55 \RXPHMONITOR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1848"
  wire input 145 \RXPHOVRDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1759"
  wire width 5 output 56 \RXPHSLIPMONITOR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1849"
  wire input 146 \RXPMARESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1850"
  wire input 147 \RXPOLARITY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1851"
  wire input 148 \RXPRBSCNTRESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1731"
  wire output 28 \RXPRBSERR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1910"
  wire width 3 input 207 \RXPRBSSEL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1852"
  wire input 149 \RXQPIEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1732"
  wire output 29 \RXQPISENN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1733"
  wire output 30 \RXQPISENP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1911"
  wire width 3 input 208 \RXRATE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1734"
  wire output 31 \RXRATEDONE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1735"
  wire output 32 \RXRESETDONE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1853"
  wire input 150 \RXSLIDE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1736"
  wire output 33 \RXSTARTOFSEQ
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1756"
  wire width 3 output 53 \RXSTATUS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1903"
  wire width 2 input 200 \RXSYSCLKSEL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1854"
  wire input 151 \RXUSERRDY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1856"
  wire input 153 \RXUSRCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1855"
  wire input 152 \RXUSRCLK2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1737"
  wire output 34 \RXVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1857"
  wire input 154 \SETERRSTATUS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1899"
  wire width 20 input 196 \TSTIN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1767"
  wire width 10 output 64 \TSTOUT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1929"
  wire width 8 input 226 \TX8B10BBYPASS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1858"
  wire input 155 \TX8B10BEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1912"
  wire width 3 input 209 \TXBUFDIFFCTRL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1753"
  wire width 2 output 50 \TXBUFSTATUS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1930"
  wire width 8 input 227 \TXCHARDISPMODE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1931"
  wire width 8 input 228 \TXCHARDISPVAL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1932"
  wire width 8 input 229 \TXCHARISK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1738"
  wire output 35 \TXCOMFINISH
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1859"
  wire input 156 \TXCOMINIT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1860"
  wire input 157 \TXCOMSAS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1861"
  wire input 158 \TXCOMWAKE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1926"
  wire width 64 input 223 \TXDATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1862"
  wire input 159 \TXDEEMPH
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1863"
  wire input 160 \TXDETECTRX
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1919"
  wire width 4 input 216 \TXDIFFCTRL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1864"
  wire input 161 \TXDIFFPD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1865"
  wire input 162 \TXDLYBYPASS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1866"
  wire input 163 \TXDLYEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1867"
  wire input 164 \TXDLYHOLD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1868"
  wire input 165 \TXDLYOVRDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1869"
  wire input 166 \TXDLYSRESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1739"
  wire output 36 \TXDLYSRESETDONE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1870"
  wire input 167 \TXDLYUPDOWN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1871"
  wire input 168 \TXELECIDLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1740"
  wire output 37 \TXGEARBOXREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1913"
  wire width 3 input 210 \TXHEADER
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1872"
  wire input 169 \TXINHIBIT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1927"
  wire width 7 input 224 \TXMAINCURSOR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1914"
  wire width 3 input 211 \TXMARGIN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1741"
  wire output 38 \TXOUTCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1742"
  wire output 39 \TXOUTCLKFABRIC
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1743"
  wire output 40 \TXOUTCLKPCS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1915"
  wire width 3 input 212 \TXOUTCLKSEL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1873"
  wire input 170 \TXPCSRESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1904"
  wire width 2 input 201 \TXPD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1874"
  wire input 171 \TXPDELECIDLEMODE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1875"
  wire input 172 \TXPHALIGN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1744"
  wire output 41 \TXPHALIGNDONE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1876"
  wire input 173 \TXPHALIGNEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1877"
  wire input 174 \TXPHDLYPD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1878"
  wire input 175 \TXPHDLYRESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1879"
  wire input 176 \TXPHDLYTSTCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1880"
  wire input 177 \TXPHINIT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1745"
  wire output 42 \TXPHINITDONE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1881"
  wire input 178 \TXPHOVRDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1882"
  wire input 179 \TXPISOPD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1883"
  wire input 180 \TXPMARESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1884"
  wire input 181 \TXPOLARITY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1924"
  wire width 5 input 221 \TXPOSTCURSOR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1885"
  wire input 182 \TXPOSTCURSORINV
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1886"
  wire input 183 \TXPRBSFORCEERR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1916"
  wire width 3 input 213 \TXPRBSSEL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1925"
  wire width 5 input 222 \TXPRECURSOR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1887"
  wire input 184 \TXPRECURSORINV
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1888"
  wire input 185 \TXQPIBIASEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1746"
  wire output 43 \TXQPISENN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1747"
  wire output 44 \TXQPISENP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1889"
  wire input 186 \TXQPISTRONGPDOWN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1890"
  wire input 187 \TXQPIWEAKPUP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1917"
  wire width 3 input 214 \TXRATE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1748"
  wire output 45 \TXRATEDONE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1749"
  wire output 46 \TXRESETDONE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1928"
  wire width 7 input 225 \TXSEQUENCE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1891"
  wire input 188 \TXSTARTSEQ
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1892"
  wire input 189 \TXSWING
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1905"
  wire width 2 input 202 \TXSYSCLKSEL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1893"
  wire input 190 \TXUSERRDY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1895"
  wire input 192 \TXUSRCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1894"
  wire input 191 \TXUSRCLK2
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1936"
module \GTXE2_COMMON
  parameter \BIAS_CFG
  parameter \COMMON_CFG
  parameter \IS_DRPCLK_INVERTED
  parameter \IS_GTGREFCLK_INVERTED
  parameter \IS_QPLLLOCKDETCLK_INVERTED
  parameter \QPLL_CFG
  parameter \QPLL_CLKOUT_CFG
  parameter \QPLL_COARSE_FREQ_OVRD
  parameter \QPLL_COARSE_FREQ_OVRD_EN
  parameter \QPLL_CP
  parameter \QPLL_CP_MONITOR_EN
  parameter \QPLL_DMONITOR_SEL
  parameter \QPLL_FBDIV
  parameter \QPLL_FBDIV_MONITOR_EN
  parameter \QPLL_FBDIV_RATIO
  parameter \QPLL_INIT_CFG
  parameter \QPLL_LOCK_CFG
  parameter \QPLL_LPF
  parameter \QPLL_REFCLK_DIV
  parameter \SIM_QPLLREFCLK_SEL
  parameter \SIM_RESET_SPEEDUP
  parameter \SIM_VERSION
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1968"
  wire input 10 \BGBYPASSB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1969"
  wire input 11 \BGMONITORENB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1970"
  wire input 12 \BGPDB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1990"
  wire width 5 input 32 \BGRCALOVRD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1992"
  wire width 8 input 34 \DRPADDR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1971"
  wire input 13 \DRPCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1987"
  wire width 16 input 29 \DRPDI
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1966"
  wire width 16 output 8 \DRPDO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1972"
  wire input 14 \DRPEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1959"
  wire output 1 \DRPRDY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1973"
  wire input 15 \DRPWE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1974"
  wire input 16 \GTGREFCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1975"
  wire input 17 \GTNORTHREFCLK0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1976"
  wire input 18 \GTNORTHREFCLK1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1977"
  wire input 19 \GTREFCLK0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1978"
  wire input 20 \GTREFCLK1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1979"
  wire input 21 \GTSOUTHREFCLK0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1980"
  wire input 22 \GTSOUTHREFCLK1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1993"
  wire width 8 input 35 \PMARSVD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1967"
  wire width 8 output 9 \QPLLDMONITOR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1960"
  wire output 2 \QPLLFBCLKLOST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1961"
  wire output 3 \QPLLLOCK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1981"
  wire input 23 \QPLLLOCKDETCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1982"
  wire input 24 \QPLLLOCKEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1962"
  wire output 4 \QPLLOUTCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1963"
  wire output 5 \QPLLOUTREFCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1983"
  wire input 25 \QPLLOUTRESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1984"
  wire input 26 \QPLLPD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1964"
  wire output 6 \QPLLREFCLKLOST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1989"
  wire width 3 input 31 \QPLLREFCLKSEL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1985"
  wire input 27 \QPLLRESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1988"
  wire width 16 input 30 \QPLLRSVD1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1991"
  wire width 5 input 33 \QPLLRSVD2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1986"
  wire input 28 \RCALENB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1965"
  wire output 7 \REFCLKOUTMONITOR
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:14"
module \IBUF
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:14"
  wire input 2 \I
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:14"
  wire output 1 \O
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2017"
module \IBUFDS
  parameter \CAPACITANCE
  parameter \DIFF_TERM
  parameter \DQS_BIAS
  parameter \IBUF_DELAY_VALUE
  parameter \IBUF_LOW_PWR
  parameter \IFD_DELAY_VALUE
  parameter \IOSTANDARD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2026"
  wire input 2 \I
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2026"
  wire input 3 \IB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2025"
  wire output 1 \O
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2029"
module \IBUFDS_DIFF_OUT
  parameter \DIFF_TERM
  parameter \DQS_BIAS
  parameter \IBUF_LOW_PWR
  parameter \IOSTANDARD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2035"
  wire input 3 \I
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2035"
  wire input 4 \IB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2034"
  wire output 1 \O
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2034"
  wire output 2 \OB
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2038"
module \IBUFDS_DIFF_OUT_IBUFDISABLE
  parameter \DIFF_TERM
  parameter \DQS_BIAS
  parameter \IBUF_LOW_PWR
  parameter \IOSTANDARD
  parameter \SIM_DEVICE
  parameter \USE_IBUFDISABLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2047"
  wire input 3 \I
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2048"
  wire input 4 \IB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2049"
  wire input 5 \IBUFDISABLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2045"
  wire output 1 \O
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2046"
  wire output 2 \OB
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2052"
module \IBUFDS_DIFF_OUT_INTERMDISABLE
  parameter \DIFF_TERM
  parameter \DQS_BIAS
  parameter \IBUF_LOW_PWR
  parameter \IOSTANDARD
  parameter \SIM_DEVICE
  parameter \USE_IBUFDISABLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2061"
  wire input 3 \I
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2062"
  wire input 4 \IB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2063"
  wire input 5 \IBUFDISABLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2064"
  wire input 6 \INTERMDISABLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2059"
  wire output 1 \O
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2060"
  wire output 2 \OB
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2067"
module \IBUFDS_GTE2
  parameter \CLKCM_CFG
  parameter \CLKRCV_TRST
  parameter \CLKSWING_CFG
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2073"
  wire input 3 \CEB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2074"
  wire input 4 \I
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2075"
  wire input 5 \IB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2071"
  wire output 1 \O
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2072"
  wire output 2 \ODIV2
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2078"
module \IBUFDS_IBUFDISABLE
  parameter \DIFF_TERM
  parameter \DQS_BIAS
  parameter \IBUF_LOW_PWR
  parameter \IOSTANDARD
  parameter \SIM_DEVICE
  parameter \USE_IBUFDISABLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2086"
  wire input 2 \I
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2087"
  wire input 3 \IB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2088"
  wire input 4 \IBUFDISABLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2085"
  wire output 1 \O
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2091"
module \IBUFDS_INTERMDISABLE
  parameter \DIFF_TERM
  parameter \DQS_BIAS
  parameter \IBUF_LOW_PWR
  parameter \IOSTANDARD
  parameter \SIM_DEVICE
  parameter \USE_IBUFDISABLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2099"
  wire input 2 \I
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2100"
  wire input 3 \IB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2101"
  wire input 4 \IBUFDISABLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2102"
  wire input 5 \INTERMDISABLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2098"
  wire output 1 \O
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:1996"
module \IBUF_IBUFDISABLE
  parameter \IBUF_LOW_PWR
  parameter \IOSTANDARD
  parameter \SIM_DEVICE
  parameter \USE_IBUFDISABLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2002"
  wire input 2 \I
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2003"
  wire input 3 \IBUFDISABLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2001"
  wire output 1 \O
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2006"
module \IBUF_INTERMDISABLE
  parameter \IBUF_LOW_PWR
  parameter \IOSTANDARD
  parameter \SIM_DEVICE
  parameter \USE_IBUFDISABLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2012"
  wire input 2 \I
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2013"
  wire input 3 \IBUFDISABLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2014"
  wire input 4 \INTERMDISABLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2011"
  wire output 1 \O
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2105"
module \ICAPE2
  parameter \DEVICE_ID
  parameter \ICAP_WIDTH
  parameter \SIM_CFG_FILE_NAME
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2110"
  wire input 2 \CLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2111"
  wire input 3 \CSIB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2113"
  wire width 32 input 5 \I
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2109"
  wire width 32 output 1 \O
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2112"
  wire input 4 \RDWRB
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2116"
module \IDDR
  parameter \DDR_CLK_EDGE
  parameter \INIT_Q1
  parameter \INIT_Q2
  parameter \IS_C_INVERTED
  parameter \IS_D_INVERTED
  parameter \MSGON
  parameter \SRTYPE
  parameter \XON
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2127"
  wire input 3 \C
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2128"
  wire input 4 \CE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2129"
  wire input 5 \D
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2125"
  wire output 1 \Q1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2126"
  wire output 2 \Q2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2130"
  wire input 6 \R
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2131"
  wire input 7 \S
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2134"
module \IDDR_2CLK
  parameter \DDR_CLK_EDGE
  parameter \INIT_Q1
  parameter \INIT_Q2
  parameter \IS_CB_INVERTED
  parameter \IS_C_INVERTED
  parameter \IS_D_INVERTED
  parameter \SRTYPE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2144"
  wire input 3 \C
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2145"
  wire input 4 \CB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2146"
  wire input 5 \CE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2147"
  wire input 6 \D
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2142"
  wire output 1 \Q1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2143"
  wire output 2 \Q2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2148"
  wire input 7 \R
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2149"
  wire input 8 \S
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2152"
module \IDELAYCTRL
  parameter \SIM_DEVICE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2154"
  wire output 1 \RDY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2155"
  wire input 2 \REFCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2156"
  wire input 3 \RST
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2159"
module \IDELAYE2
  parameter \CINVCTRL_SEL
  parameter \DELAY_SRC
  parameter \HIGH_PERFORMANCE_MODE
  parameter \IDELAY_TYPE
  parameter \IDELAY_VALUE
  parameter \IS_C_INVERTED
  parameter \IS_DATAIN_INVERTED
  parameter \IS_IDATAIN_INVERTED
  parameter \PIPE_SEL
  parameter \REFCLK_FREQUENCY
  parameter \SIGNAL_PATTERN
  parameter \SIM_DELAY_D
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2174"
  wire input 3 \C
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2175"
  wire input 4 \CE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2176"
  wire input 5 \CINVCTRL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2177"
  wire width 5 input 6 \CNTVALUEIN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2172"
  wire width 5 output 1 \CNTVALUEOUT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2178"
  wire input 7 \DATAIN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2173"
  wire output 2 \DATAOUT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2179"
  wire input 8 \IDATAIN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2180"
  wire input 9 \INC
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2181"
  wire input 10 \LD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2182"
  wire input 11 \LDPIPEEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2183"
  wire input 12 \REGRST
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:34"
module \INV
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:34"
  wire input 2 \I
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:34"
  wire output 1 \O
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2186"
module \IN_FIFO
  parameter \ALMOST_EMPTY_VALUE
  parameter \ALMOST_FULL_VALUE
  parameter \ARRAY_MODE
  parameter \SYNCHRONOUS_MODE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2191"
  wire output 1 \ALMOSTEMPTY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2192"
  wire output 2 \ALMOSTFULL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2210"
  wire width 4 input 20 \D0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2211"
  wire width 4 input 21 \D1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2212"
  wire width 4 input 22 \D2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2213"
  wire width 4 input 23 \D3
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2214"
  wire width 4 input 24 \D4
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2218"
  wire width 8 input 28 \D5
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2219"
  wire width 8 input 29 \D6
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2215"
  wire width 4 input 25 \D7
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2216"
  wire width 4 input 26 \D8
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2217"
  wire width 4 input 27 \D9
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2193"
  wire output 3 \EMPTY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2194"
  wire output 4 \FULL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2195"
  wire width 8 output 5 \Q0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2196"
  wire width 8 output 6 \Q1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2197"
  wire width 8 output 7 \Q2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2198"
  wire width 8 output 8 \Q3
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2199"
  wire width 8 output 9 \Q4
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2200"
  wire width 8 output 10 \Q5
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2201"
  wire width 8 output 11 \Q6
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2202"
  wire width 8 output 12 \Q7
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2203"
  wire width 8 output 13 \Q8
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2204"
  wire width 8 output 14 \Q9
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2205"
  wire input 15 \RDCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2206"
  wire input 16 \RDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2207"
  wire input 17 \RESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2208"
  wire input 18 \WRCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2209"
  wire input 19 \WREN
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2222"
module \IOBUF
  parameter \DRIVE
  parameter \IBUF_LOW_PWR
  parameter \IOSTANDARD
  parameter \SLEW
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2229"
  wire input 3 \I
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2228"
  wire inout 2 \IO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2227"
  wire output 1 \O
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2229"
  wire input 4 \T
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2262"
module \IOBUFDS
  parameter \DIFF_TERM
  parameter \DQS_BIAS
  parameter \IBUF_LOW_PWR
  parameter \IOSTANDARD
  parameter \SLEW
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2270"
  wire input 4 \I
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2269"
  wire inout 2 \IO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2269"
  wire inout 3 \IOB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2268"
  wire output 1 \O
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2270"
  wire input 5 \T
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2273"
module \IOBUFDS_DCIEN
  parameter \DIFF_TERM
  parameter \DQS_BIAS
  parameter \IBUF_LOW_PWR
  parameter \IOSTANDARD
  parameter \SIM_DEVICE
  parameter \SLEW
  parameter \USE_IBUFDISABLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2284"
  wire input 4 \DCITERMDISABLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2285"
  wire input 5 \I
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2286"
  wire input 6 \IBUFDISABLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2282"
  wire inout 2 \IO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2283"
  wire inout 3 \IOB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2281"
  wire output 1 \O
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2287"
  wire input 7 \T
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2290"
module \IOBUFDS_DIFF_OUT
  parameter \DIFF_TERM
  parameter \DQS_BIAS
  parameter \IBUF_LOW_PWR
  parameter \IOSTANDARD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2299"
  wire input 5 \I
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2297"
  wire inout 3 \IO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2298"
  wire inout 4 \IOB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2295"
  wire output 1 \O
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2296"
  wire output 2 \OB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2300"
  wire input 6 \TM
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2301"
  wire input 7 \TS
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2304"
module \IOBUFDS_DIFF_OUT_DCIEN
  parameter \DIFF_TERM
  parameter \DQS_BIAS
  parameter \IBUF_LOW_PWR
  parameter \IOSTANDARD
  parameter \SIM_DEVICE
  parameter \USE_IBUFDISABLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2315"
  wire input 5 \DCITERMDISABLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2316"
  wire input 6 \I
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2317"
  wire input 7 \IBUFDISABLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2313"
  wire inout 3 \IO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2314"
  wire inout 4 \IOB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2311"
  wire output 1 \O
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2312"
  wire output 2 \OB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2318"
  wire input 8 \TM
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2319"
  wire input 9 \TS
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2322"
module \IOBUFDS_DIFF_OUT_INTERMDISABLE
  parameter \DIFF_TERM
  parameter \DQS_BIAS
  parameter \IBUF_LOW_PWR
  parameter \IOSTANDARD
  parameter \SIM_DEVICE
  parameter \USE_IBUFDISABLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2333"
  wire input 5 \I
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2334"
  wire input 6 \IBUFDISABLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2335"
  wire input 7 \INTERMDISABLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2331"
  wire inout 3 \IO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2332"
  wire inout 4 \IOB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2329"
  wire output 1 \O
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2330"
  wire output 2 \OB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2336"
  wire input 8 \TM
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2337"
  wire input 9 \TS
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2232"
module \IOBUF_DCIEN
  parameter \DRIVE
  parameter \IBUF_LOW_PWR
  parameter \IOSTANDARD
  parameter \SIM_DEVICE
  parameter \SLEW
  parameter \USE_IBUFDISABLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2241"
  wire input 3 \DCITERMDISABLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2242"
  wire input 4 \I
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2243"
  wire input 5 \IBUFDISABLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2240"
  wire inout 2 \IO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2239"
  wire output 1 \O
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2244"
  wire input 6 \T
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2247"
module \IOBUF_INTERMDISABLE
  parameter \DRIVE
  parameter \IBUF_LOW_PWR
  parameter \IOSTANDARD
  parameter \SIM_DEVICE
  parameter \SLEW
  parameter \USE_IBUFDISABLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2256"
  wire input 3 \I
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2257"
  wire input 4 \IBUFDISABLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2258"
  wire input 5 \INTERMDISABLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2255"
  wire inout 2 \IO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2254"
  wire output 1 \O
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2259"
  wire input 6 \T
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2340"
module \ISERDESE2
  parameter \DATA_RATE
  parameter \DATA_WIDTH
  parameter \DYN_CLKDIV_INV_EN
  parameter \DYN_CLK_INV_EN
  parameter \INIT_Q1
  parameter \INIT_Q2
  parameter \INIT_Q3
  parameter \INIT_Q4
  parameter \INTERFACE_TYPE
  parameter \IOBDELAY
  parameter \IS_CLKB_INVERTED
  parameter \IS_CLKDIVP_INVERTED
  parameter \IS_CLKDIV_INVERTED
  parameter \IS_CLK_INVERTED
  parameter \IS_D_INVERTED
  parameter \IS_OCLKB_INVERTED
  parameter \IS_OCLK_INVERTED
  parameter \NUM_CE
  parameter \OFB_USED
  parameter \SERDES_MODE
  parameter \SRVAL_Q1
  parameter \SRVAL_Q2
  parameter \SRVAL_Q3
  parameter \SRVAL_Q4
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2376"
  wire input 12 \BITSLIP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2377"
  wire input 13 \CE1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2378"
  wire input 14 \CE2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2379"
  wire input 15 \CLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2380"
  wire input 16 \CLKB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2381"
  wire input 17 \CLKDIV
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2382"
  wire input 18 \CLKDIVP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2383"
  wire input 19 \D
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2384"
  wire input 20 \DDLY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2385"
  wire input 21 \DYNCLKDIVSEL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2386"
  wire input 22 \DYNCLKSEL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2365"
  wire output 1 \O
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2387"
  wire input 23 \OCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2388"
  wire input 24 \OCLKB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2389"
  wire input 25 \OFB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2366"
  wire output 2 \Q1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2367"
  wire output 3 \Q2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2368"
  wire output 4 \Q3
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2369"
  wire output 5 \Q4
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2370"
  wire output 6 \Q5
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2371"
  wire output 7 \Q6
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2372"
  wire output 8 \Q7
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2373"
  wire output 9 \Q8
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2390"
  wire input 26 \RST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2391"
  wire input 27 \SHIFTIN1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2392"
  wire input 28 \SHIFTIN2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2374"
  wire output 10 \SHIFTOUT1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2375"
  wire output 11 \SHIFTOUT2
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2395"
module \KEEPER
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2396"
  wire inout 1 \O
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2399"
module \LDCE
  parameter \INIT
  parameter \IS_CLR_INVERTED
  parameter \IS_G_INVERTED
  parameter \MSGON
  parameter \XON
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2406"
  wire input 2 \CLR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2406"
  wire input 3 \D
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2406"
  wire input 4 \G
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2406"
  wire input 5 \GE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2405"
  wire output 1 \Q
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2409"
module \LDPE
  parameter \INIT
  parameter \IS_G_INVERTED
  parameter \IS_PRE_INVERTED
  parameter \MSGON
  parameter \XON
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2416"
  wire input 2 \D
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2416"
  wire input 3 \G
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2416"
  wire input 4 \GE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2416"
  wire input 5 \PRE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2415"
  wire output 1 \Q
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:38"
module \LUT1
  parameter \INIT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:38"
  wire input 2 \I0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:38"
  wire output 1 \O
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:43"
module \LUT2
  parameter \INIT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:43"
  wire input 2 \I0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:43"
  wire input 3 \I1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:43"
  wire output 1 \O
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:49"
module \LUT3
  parameter \INIT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:49"
  wire input 2 \I0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:49"
  wire input 3 \I1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:49"
  wire input 4 \I2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:49"
  wire output 1 \O
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:56"
module \LUT4
  parameter \INIT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:56"
  wire input 2 \I0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:56"
  wire input 3 \I1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:56"
  wire input 4 \I2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:56"
  wire input 5 \I3
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:56"
  wire output 1 \O
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:64"
module \LUT5
  parameter \INIT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:64"
  wire input 2 \I0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:64"
  wire input 3 \I1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:64"
  wire input 4 \I2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:64"
  wire input 5 \I3
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:64"
  wire input 6 \I4
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:64"
  wire output 1 \O
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:73"
module \LUT6
  parameter \INIT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:73"
  wire input 2 \I0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:73"
  wire input 3 \I1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:73"
  wire input 4 \I2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:73"
  wire input 5 \I3
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:73"
  wire input 6 \I4
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:73"
  wire input 7 \I5
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:73"
  wire output 1 \O
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2419"
module \LUT6_2
  parameter \INIT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2421"
  wire input 1 \I0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2421"
  wire input 2 \I1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2421"
  wire input 3 \I2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2421"
  wire input 4 \I3
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2421"
  wire input 5 \I4
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2421"
  wire input 6 \I5
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2422"
  wire output 7 \O5
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2422"
  wire output 8 \O6
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2425"
module \MMCME2_ADV
  parameter \BANDWIDTH
  parameter \CLKFBOUT_MULT_F
  parameter \CLKFBOUT_PHASE
  parameter \CLKFBOUT_USE_FINE_PS
  parameter \CLKIN1_PERIOD
  parameter \CLKIN2_PERIOD
  parameter \CLKIN_FREQ_MAX
  parameter \CLKIN_FREQ_MIN
  parameter \CLKOUT0_DIVIDE_F
  parameter \CLKOUT0_DUTY_CYCLE
  parameter \CLKOUT0_PHASE
  parameter \CLKOUT0_USE_FINE_PS
  parameter \CLKOUT1_DIVIDE
  parameter \CLKOUT1_DUTY_CYCLE
  parameter \CLKOUT1_PHASE
  parameter \CLKOUT1_USE_FINE_PS
  parameter \CLKOUT2_DIVIDE
  parameter \CLKOUT2_DUTY_CYCLE
  parameter \CLKOUT2_PHASE
  parameter \CLKOUT2_USE_FINE_PS
  parameter \CLKOUT3_DIVIDE
  parameter \CLKOUT3_DUTY_CYCLE
  parameter \CLKOUT3_PHASE
  parameter \CLKOUT3_USE_FINE_PS
  parameter \CLKOUT4_CASCADE
  parameter \CLKOUT4_DIVIDE
  parameter \CLKOUT4_DUTY_CYCLE
  parameter \CLKOUT4_PHASE
  parameter \CLKOUT4_USE_FINE_PS
  parameter \CLKOUT5_DIVIDE
  parameter \CLKOUT5_DUTY_CYCLE
  parameter \CLKOUT5_PHASE
  parameter \CLKOUT5_USE_FINE_PS
  parameter \CLKOUT6_DIVIDE
  parameter \CLKOUT6_DUTY_CYCLE
  parameter \CLKOUT6_PHASE
  parameter \CLKOUT6_USE_FINE_PS
  parameter \CLKPFD_FREQ_MAX
  parameter \CLKPFD_FREQ_MIN
  parameter \COMPENSATION
  parameter \DIVCLK_DIVIDE
  parameter \IS_CLKINSEL_INVERTED
  parameter \IS_PSEN_INVERTED
  parameter \IS_PSINCDEC_INVERTED
  parameter \IS_PWRDWN_INVERTED
  parameter \IS_RST_INVERTED
  parameter \REF_JITTER1
  parameter \REF_JITTER2
  parameter \SS_EN
  parameter \SS_MODE
  parameter \SS_MOD_PERIOD
  parameter \STARTUP_WAIT
  parameter \VCOCLK_FREQ_MAX
  parameter \VCOCLK_FREQ_MIN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2500"
  wire input 20 \CLKFBIN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2481"
  wire output 1 \CLKFBOUT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2482"
  wire output 2 \CLKFBOUTB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2483"
  wire output 3 \CLKFBSTOPPED
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2501"
  wire input 21 \CLKIN1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2502"
  wire input 22 \CLKIN2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2503"
  wire input 23 \CLKINSEL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2484"
  wire output 4 \CLKINSTOPPED
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2485"
  wire output 5 \CLKOUT0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2486"
  wire output 6 \CLKOUT0B
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2487"
  wire output 7 \CLKOUT1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2488"
  wire output 8 \CLKOUT1B
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2489"
  wire output 9 \CLKOUT2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2490"
  wire output 10 \CLKOUT2B
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2491"
  wire output 11 \CLKOUT3
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2492"
  wire output 12 \CLKOUT3B
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2493"
  wire output 13 \CLKOUT4
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2494"
  wire output 14 \CLKOUT5
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2495"
  wire output 15 \CLKOUT6
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2504"
  wire width 7 input 24 \DADDR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2505"
  wire input 25 \DCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2506"
  wire input 26 \DEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2507"
  wire width 16 input 27 \DI
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2496"
  wire width 16 output 16 \DO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2497"
  wire output 17 \DRDY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2508"
  wire input 28 \DWE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2498"
  wire output 18 \LOCKED
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2509"
  wire input 29 \PSCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2499"
  wire output 19 \PSDONE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2510"
  wire input 30 \PSEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2511"
  wire input 31 \PSINCDEC
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2512"
  wire input 32 \PWRDWN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2513"
  wire input 33 \RST
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2516"
module \MMCME2_BASE
  parameter \BANDWIDTH
  parameter \CLKFBOUT_MULT_F
  parameter \CLKFBOUT_PHASE
  parameter \CLKIN1_PERIOD
  parameter \CLKOUT0_DIVIDE_F
  parameter \CLKOUT0_DUTY_CYCLE
  parameter \CLKOUT0_PHASE
  parameter \CLKOUT1_DIVIDE
  parameter \CLKOUT1_DUTY_CYCLE
  parameter \CLKOUT1_PHASE
  parameter \CLKOUT2_DIVIDE
  parameter \CLKOUT2_DUTY_CYCLE
  parameter \CLKOUT2_PHASE
  parameter \CLKOUT3_DIVIDE
  parameter \CLKOUT3_DUTY_CYCLE
  parameter \CLKOUT3_PHASE
  parameter \CLKOUT4_CASCADE
  parameter \CLKOUT4_DIVIDE
  parameter \CLKOUT4_DUTY_CYCLE
  parameter \CLKOUT4_PHASE
  parameter \CLKOUT5_DIVIDE
  parameter \CLKOUT5_DUTY_CYCLE
  parameter \CLKOUT5_PHASE
  parameter \CLKOUT6_DIVIDE
  parameter \CLKOUT6_DUTY_CYCLE
  parameter \CLKOUT6_PHASE
  parameter \DIVCLK_DIVIDE
  parameter \REF_JITTER1
  parameter \STARTUP_WAIT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2560"
  wire input 15 \CLKFBIN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2546"
  wire output 1 \CLKFBOUT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2547"
  wire output 2 \CLKFBOUTB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2561"
  wire input 16 \CLKIN1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2548"
  wire output 3 \CLKOUT0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2549"
  wire output 4 \CLKOUT0B
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2550"
  wire output 5 \CLKOUT1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2551"
  wire output 6 \CLKOUT1B
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2552"
  wire output 7 \CLKOUT2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2553"
  wire output 8 \CLKOUT2B
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2554"
  wire output 9 \CLKOUT3
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2555"
  wire output 10 \CLKOUT3B
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2556"
  wire output 11 \CLKOUT4
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2557"
  wire output 12 \CLKOUT5
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2558"
  wire output 13 \CLKOUT6
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2559"
  wire output 14 \LOCKED
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2562"
  wire input 17 \PWRDWN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2563"
  wire input 18 \RST
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:83"
module \MUXCY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:83"
  wire input 2 \CI
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:83"
  wire input 3 \DI
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:83"
  wire output 1 \O
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:83"
  wire input 4 \S
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:87"
module \MUXF7
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:87"
  wire input 2 \I0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:87"
  wire input 3 \I1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:87"
  wire output 1 \O
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:87"
  wire input 4 \S
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:91"
module \MUXF8
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:91"
  wire input 2 \I0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:91"
  wire input 3 \I1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:91"
  wire output 1 \O
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:91"
  wire input 4 \S
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:18"
module \OBUF
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:18"
  wire input 2 \I
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:18"
  wire output 1 \O
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2566"
module \OBUFDS
  parameter \CAPACITANCE
  parameter \IOSTANDARD
  parameter \SLEW
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2571"
  wire input 3 \I
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2570"
  wire output 1 \O
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2570"
  wire output 2 \OB
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2574"
module \OBUFT
  parameter \CAPACITANCE
  parameter \DRIVE
  parameter \IOSTANDARD
  parameter \SLEW
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2580"
  wire input 2 \I
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2579"
  wire output 1 \O
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2580"
  wire input 3 \T
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2583"
module \OBUFTDS
  parameter \CAPACITANCE
  parameter \IOSTANDARD
  parameter \SLEW
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2588"
  wire input 3 \I
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2587"
  wire output 1 \O
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2587"
  wire output 2 \OB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2588"
  wire input 4 \T
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2591"
module \ODDR
  parameter \DDR_CLK_EDGE
  parameter \INIT
  parameter \IS_C_INVERTED
  parameter \IS_D1_INVERTED
  parameter \IS_D2_INVERTED
  parameter \MSGON
  parameter \SRTYPE
  parameter \XON
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2593"
  wire input 2 \C
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2594"
  wire input 3 \CE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2595"
  wire input 4 \D1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2596"
  wire input 5 \D2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2592"
  wire output 1 \Q
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2597"
  wire input 6 \R
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2598"
  wire input 7 \S
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2609"
module \ODELAYE2
  parameter \CINVCTRL_SEL
  parameter \DELAY_SRC
  parameter \HIGH_PERFORMANCE_MODE
  parameter \IS_C_INVERTED
  parameter \IS_ODATAIN_INVERTED
  parameter \ODELAY_TYPE
  parameter \ODELAY_VALUE
  parameter \PIPE_SEL
  parameter \REFCLK_FREQUENCY
  parameter \SIGNAL_PATTERN
  parameter \SIM_DELAY_D
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2623"
  wire input 3 \C
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2624"
  wire input 4 \CE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2625"
  wire input 5 \CINVCTRL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2626"
  wire input 6 \CLKIN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2627"
  wire width 5 input 7 \CNTVALUEIN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2621"
  wire width 5 output 1 \CNTVALUEOUT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2622"
  wire output 2 \DATAOUT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2628"
  wire input 8 \INC
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2629"
  wire input 9 \LD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2630"
  wire input 10 \LDPIPEEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2631"
  wire input 11 \ODATAIN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2632"
  wire input 12 \REGRST
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2635"
module \OSERDESE2
  parameter \DATA_RATE_OQ
  parameter \DATA_RATE_TQ
  parameter \DATA_WIDTH
  parameter \INIT_OQ
  parameter \INIT_TQ
  parameter \IS_CLKDIV_INVERTED
  parameter \IS_CLK_INVERTED
  parameter \IS_D1_INVERTED
  parameter \IS_D2_INVERTED
  parameter \IS_D3_INVERTED
  parameter \IS_D4_INVERTED
  parameter \IS_D5_INVERTED
  parameter \IS_D6_INVERTED
  parameter \IS_D7_INVERTED
  parameter \IS_D8_INVERTED
  parameter \IS_T1_INVERTED
  parameter \IS_T2_INVERTED
  parameter \IS_T3_INVERTED
  parameter \IS_T4_INVERTED
  parameter \SERDES_MODE
  parameter \SRVAL_OQ
  parameter \SRVAL_TQ
  parameter \TBYTE_CTL
  parameter \TBYTE_SRC
  parameter \TRISTATE_WIDTH
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2668"
  wire input 8 \CLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2669"
  wire input 9 \CLKDIV
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2670"
  wire input 10 \D1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2671"
  wire input 11 \D2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2672"
  wire input 12 \D3
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2673"
  wire input 13 \D4
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2674"
  wire input 14 \D5
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2675"
  wire input 15 \D6
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2676"
  wire input 16 \D7
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2677"
  wire input 17 \D8
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2678"
  wire input 18 \OCE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2661"
  wire output 1 \OFB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2662"
  wire output 2 \OQ
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2679"
  wire input 19 \RST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2680"
  wire input 20 \SHIFTIN1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2681"
  wire input 21 \SHIFTIN2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2663"
  wire output 3 \SHIFTOUT1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2664"
  wire output 4 \SHIFTOUT2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2682"
  wire input 22 \T1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2683"
  wire input 23 \T2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2684"
  wire input 24 \T3
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2685"
  wire input 25 \T4
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2686"
  wire input 26 \TBYTEIN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2665"
  wire output 5 \TBYTEOUT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2687"
  wire input 27 \TCE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2666"
  wire output 6 \TFB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2667"
  wire output 7 \TQ
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2690"
module \OUT_FIFO
  parameter \ALMOST_EMPTY_VALUE
  parameter \ALMOST_FULL_VALUE
  parameter \ARRAY_MODE
  parameter \OUTPUT_DISABLE
  parameter \SYNCHRONOUS_MODE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2696"
  wire output 1 \ALMOSTEMPTY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2697"
  wire output 2 \ALMOSTFULL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2715"
  wire width 8 input 20 \D0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2716"
  wire width 8 input 21 \D1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2717"
  wire width 8 input 22 \D2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2718"
  wire width 8 input 23 \D3
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2719"
  wire width 8 input 24 \D4
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2720"
  wire width 8 input 25 \D5
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2721"
  wire width 8 input 26 \D6
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2722"
  wire width 8 input 27 \D7
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2723"
  wire width 8 input 28 \D8
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2724"
  wire width 8 input 29 \D9
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2698"
  wire output 3 \EMPTY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2699"
  wire output 4 \FULL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2700"
  wire width 4 output 5 \Q0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2701"
  wire width 4 output 6 \Q1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2702"
  wire width 4 output 7 \Q2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2703"
  wire width 4 output 8 \Q3
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2704"
  wire width 4 output 9 \Q4
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2708"
  wire width 8 output 13 \Q5
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2709"
  wire width 8 output 14 \Q6
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2705"
  wire width 4 output 10 \Q7
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2706"
  wire width 4 output 11 \Q8
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2707"
  wire width 4 output 12 \Q9
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2710"
  wire input 15 \RDCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2711"
  wire input 16 \RDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2712"
  wire input 17 \RESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2713"
  wire input 18 \WRCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2714"
  wire input 19 \WREN
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2727"
module \PHASER_IN
  parameter \CLKOUT_DIV
  parameter \DQS_BIAS_MODE
  parameter \EN_ISERDES_RST
  parameter \FINE_DELAY
  parameter \FREQ_REF_DIV
  parameter \IS_RST_INVERTED
  parameter \MEMREFCLK_PERIOD
  parameter \OUTPUT_CLK_SRC
  parameter \PHASEREFCLK_PERIOD
  parameter \REFCLK_PERIOD
  parameter \SEL_CLK_OFFSET
  parameter \SYNC_IN_DIV_RST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2746"
  wire input 7 \COUNTERLOADEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2759"
  wire width 6 input 20 \COUNTERLOADVAL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2747"
  wire input 8 \COUNTERREADEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2745"
  wire width 6 output 6 \COUNTERREADVAL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2748"
  wire input 9 \DIVIDERST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2749"
  wire input 10 \EDGEADV
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2750"
  wire input 11 \FINEENABLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2751"
  wire input 12 \FINEINC
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2740"
  wire output 1 \FINEOVERFLOW
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2752"
  wire input 13 \FREQREFCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2741"
  wire output 2 \ICLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2742"
  wire output 3 \ICLKDIV
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2743"
  wire output 4 \ISERDESRST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2753"
  wire input 14 \MEMREFCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2754"
  wire input 15 \PHASEREFCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2758"
  wire width 2 input 19 \RANKSEL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2744"
  wire output 5 \RCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2755"
  wire input 16 \RST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2756"
  wire input 17 \SYNCIN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2757"
  wire input 18 \SYSCLK
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2762"
module \PHASER_IN_PHY
  parameter \BURST_MODE
  parameter \CLKOUT_DIV
  parameter \DQS_AUTO_RECAL
  parameter \DQS_BIAS_MODE
  parameter \DQS_FIND_PATTERN
  parameter \FINE_DELAY
  parameter \FREQ_REF_DIV
  parameter \IS_RST_INVERTED
  parameter \MEMREFCLK_PERIOD
  parameter \OUTPUT_CLK_SRC
  parameter \PHASEREFCLK_PERIOD
  parameter \REFCLK_PERIOD
  parameter \SEL_CLK_OFFSET
  parameter \SYNC_IN_DIV_RST
  parameter \WR_CYCLES
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2788"
  wire input 11 \BURSTPENDINGPHY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2789"
  wire input 12 \COUNTERLOADEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2802"
  wire width 6 input 25 \COUNTERLOADVAL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2790"
  wire input 13 \COUNTERREADEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2787"
  wire width 6 output 10 \COUNTERREADVAL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2778"
  wire output 1 \DQSFOUND
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2779"
  wire output 2 \DQSOUTOFRANGE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2800"
  wire width 2 input 23 \ENCALIBPHY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2791"
  wire input 14 \FINEENABLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2792"
  wire input 15 \FINEINC
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2780"
  wire output 3 \FINEOVERFLOW
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2793"
  wire input 16 \FREQREFCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2781"
  wire output 4 \ICLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2782"
  wire output 5 \ICLKDIV
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2783"
  wire output 6 \ISERDESRST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2794"
  wire input 17 \MEMREFCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2784"
  wire output 7 \PHASELOCKED
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2795"
  wire input 18 \PHASEREFCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2801"
  wire width 2 input 24 \RANKSELPHY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2785"
  wire output 8 \RCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2796"
  wire input 19 \RST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2797"
  wire input 20 \RSTDQSFIND
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2798"
  wire input 21 \SYNCIN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2799"
  wire input 22 \SYSCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2786"
  wire output 9 \WRENABLE
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2805"
module \PHASER_OUT
  parameter \CLKOUT_DIV
  parameter \COARSE_BYPASS
  parameter \COARSE_DELAY
  parameter \EN_OSERDES_RST
  parameter \FINE_DELAY
  parameter \IS_RST_INVERTED
  parameter \MEMREFCLK_PERIOD
  parameter \OCLKDELAY_INV
  parameter \OCLK_DELAY
  parameter \OUTPUT_CLK_SRC
  parameter \PHASEREFCLK_PERIOD
  parameter \PO
  parameter \REFCLK_PERIOD
  parameter \SYNC_IN_DIV_RST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2827"
  wire input 8 \COARSEENABLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2828"
  wire input 9 \COARSEINC
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2820"
  wire output 1 \COARSEOVERFLOW
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2829"
  wire input 10 \COUNTERLOADEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2842"
  wire width 9 input 23 \COUNTERLOADVAL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2830"
  wire input 11 \COUNTERREADEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2826"
  wire width 9 output 7 \COUNTERREADVAL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2831"
  wire input 12 \DIVIDERST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2832"
  wire input 13 \EDGEADV
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2833"
  wire input 14 \FINEENABLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2834"
  wire input 15 \FINEINC
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2821"
  wire output 2 \FINEOVERFLOW
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2835"
  wire input 16 \FREQREFCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2836"
  wire input 17 \MEMREFCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2822"
  wire output 3 \OCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2823"
  wire output 4 \OCLKDELAYED
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2824"
  wire output 5 \OCLKDIV
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2825"
  wire output 6 \OSERDESRST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2837"
  wire input 18 \PHASEREFCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2838"
  wire input 19 \RST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2839"
  wire input 20 \SELFINEOCLKDELAY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2840"
  wire input 21 \SYNCIN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2841"
  wire input 22 \SYSCLK
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2845"
module \PHASER_OUT_PHY
  parameter \CLKOUT_DIV
  parameter \COARSE_BYPASS
  parameter \COARSE_DELAY
  parameter \DATA_CTL_N
  parameter \DATA_RD_CYCLES
  parameter \FINE_DELAY
  parameter \IS_RST_INVERTED
  parameter \MEMREFCLK_PERIOD
  parameter \OCLKDELAY_INV
  parameter \OCLK_DELAY
  parameter \OUTPUT_CLK_SRC
  parameter \PHASEREFCLK_PERIOD
  parameter \PO
  parameter \REFCLK_PERIOD
  parameter \SYNC_IN_DIV_RST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2872"
  wire input 12 \BURSTPENDINGPHY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2873"
  wire input 13 \COARSEENABLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2874"
  wire input 14 \COARSEINC
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2861"
  wire output 1 \COARSEOVERFLOW
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2875"
  wire input 15 \COUNTERLOADEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2887"
  wire width 9 input 27 \COUNTERLOADVAL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2876"
  wire input 16 \COUNTERREADEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2871"
  wire width 9 output 11 \COUNTERREADVAL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2868"
  wire width 2 output 8 \CTSBUS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2869"
  wire width 2 output 9 \DQSBUS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2870"
  wire width 2 output 10 \DTSBUS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2886"
  wire width 2 input 26 \ENCALIBPHY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2877"
  wire input 17 \FINEENABLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2878"
  wire input 18 \FINEINC
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2862"
  wire output 2 \FINEOVERFLOW
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2879"
  wire input 19 \FREQREFCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2880"
  wire input 20 \MEMREFCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2863"
  wire output 3 \OCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2864"
  wire output 4 \OCLKDELAYED
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2865"
  wire output 5 \OCLKDIV
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2866"
  wire output 6 \OSERDESRST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2881"
  wire input 21 \PHASEREFCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2867"
  wire output 7 \RDENABLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2882"
  wire input 22 \RST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2883"
  wire input 23 \SELFINEOCLKDELAY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2884"
  wire input 24 \SYNCIN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2885"
  wire input 25 \SYSCLK
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2890"
module \PHASER_REF
  parameter \IS_PWRDWN_INVERTED
  parameter \IS_RST_INVERTED
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2894"
  wire input 2 \CLKIN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2893"
  wire output 1 \LOCKED
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2895"
  wire input 3 \PWRDWN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2896"
  wire input 4 \RST
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2899"
module \PHY_CONTROL
  parameter \AO_TOGGLE
  parameter \AO_WRLVL_EN
  parameter \BURST_MODE
  parameter \CLK_RATIO
  parameter \CMD_OFFSET
  parameter \CO_DURATION
  parameter \DATA_CTL_A_N
  parameter \DATA_CTL_B_N
  parameter \DATA_CTL_C_N
  parameter \DATA_CTL_D_N
  parameter \DISABLE_SEQ_MATCH
  parameter \DI_DURATION
  parameter \DO_DURATION
  parameter \EVENTS_DELAY
  parameter \FOUR_WINDOW_CLOCKS
  parameter \MULTI_REGION
  parameter \PHY_COUNT_ENABLE
  parameter \RD_CMD_OFFSET_0
  parameter \RD_CMD_OFFSET_1
  parameter \RD_CMD_OFFSET_2
  parameter \RD_CMD_OFFSET_3
  parameter \RD_DURATION_0
  parameter \RD_DURATION_1
  parameter \RD_DURATION_2
  parameter \RD_DURATION_3
  parameter \SYNC_MODE
  parameter \WR_CMD_OFFSET_0
  parameter \WR_CMD_OFFSET_1
  parameter \WR_CMD_OFFSET_2
  parameter \WR_CMD_OFFSET_3
  parameter \WR_DURATION_0
  parameter \WR_DURATION_1
  parameter \WR_DURATION_2
  parameter \WR_DURATION_3
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2943"
  wire width 4 output 10 \AUXOUTPUT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2944"
  wire width 4 output 11 \INBURSTPENDING
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2938"
  wire width 2 output 5 \INRANKA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2939"
  wire width 2 output 6 \INRANKB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2940"
  wire width 2 output 7 \INRANKC
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2941"
  wire width 2 output 8 \INRANKD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2946"
  wire input 13 \MEMREFCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2945"
  wire width 4 output 12 \OUTBURSTPENDING
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2942"
  wire width 2 output 9 \PCENABLECALIB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2947"
  wire input 14 \PHYCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2934"
  wire output 1 \PHYCTLALMOSTFULL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2935"
  wire output 2 \PHYCTLEMPTY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2936"
  wire output 3 \PHYCTLFULL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2948"
  wire input 15 \PHYCTLMSTREMPTY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2937"
  wire output 4 \PHYCTLREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2956"
  wire width 32 input 23 \PHYCTLWD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2949"
  wire input 16 \PHYCTLWRENABLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2950"
  wire input 17 \PLLLOCK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2951"
  wire input 18 \READCALIBENABLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2952"
  wire input 19 \REFDLLLOCK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2953"
  wire input 20 \RESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2954"
  wire input 21 \SYNCIN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2955"
  wire input 22 \WRITECALIBENABLE
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2959"
module \PLLE2_ADV
  parameter \BANDWIDTH
  parameter \CLKFBOUT_MULT
  parameter \CLKFBOUT_PHASE
  parameter \CLKIN1_PERIOD
  parameter \CLKIN2_PERIOD
  parameter \CLKIN_FREQ_MAX
  parameter \CLKIN_FREQ_MIN
  parameter \CLKOUT0_DIVIDE
  parameter \CLKOUT0_DUTY_CYCLE
  parameter \CLKOUT0_PHASE
  parameter \CLKOUT1_DIVIDE
  parameter \CLKOUT1_DUTY_CYCLE
  parameter \CLKOUT1_PHASE
  parameter \CLKOUT2_DIVIDE
  parameter \CLKOUT2_DUTY_CYCLE
  parameter \CLKOUT2_PHASE
  parameter \CLKOUT3_DIVIDE
  parameter \CLKOUT3_DUTY_CYCLE
  parameter \CLKOUT3_PHASE
  parameter \CLKOUT4_DIVIDE
  parameter \CLKOUT4_DUTY_CYCLE
  parameter \CLKOUT4_PHASE
  parameter \CLKOUT5_DIVIDE
  parameter \CLKOUT5_DUTY_CYCLE
  parameter \CLKOUT5_PHASE
  parameter \CLKPFD_FREQ_MAX
  parameter \CLKPFD_FREQ_MIN
  parameter \COMPENSATION
  parameter \DIVCLK_DIVIDE
  parameter \IS_CLKINSEL_INVERTED
  parameter \IS_PWRDWN_INVERTED
  parameter \IS_RST_INVERTED
  parameter \REF_JITTER1
  parameter \REF_JITTER2
  parameter \STARTUP_WAIT
  parameter \VCOCLK_FREQ_MAX
  parameter \VCOCLK_FREQ_MIN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3007"
  wire input 11 \CLKFBIN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2997"
  wire output 1 \CLKFBOUT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3008"
  wire input 12 \CLKIN1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3009"
  wire input 13 \CLKIN2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3010"
  wire input 14 \CLKINSEL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2998"
  wire output 2 \CLKOUT0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:2999"
  wire output 3 \CLKOUT1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3000"
  wire output 4 \CLKOUT2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3001"
  wire output 5 \CLKOUT3
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3002"
  wire output 6 \CLKOUT4
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3003"
  wire output 7 \CLKOUT5
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3017"
  wire width 7 input 21 \DADDR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3011"
  wire input 15 \DCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3012"
  wire input 16 \DEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3016"
  wire width 16 input 20 \DI
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3006"
  wire width 16 output 10 \DO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3004"
  wire output 8 \DRDY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3013"
  wire input 17 \DWE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3005"
  wire output 9 \LOCKED
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3014"
  wire input 18 \PWRDWN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3015"
  wire input 19 \RST
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3020"
module \PLLE2_BASE
  parameter \BANDWIDTH
  parameter \CLKFBOUT_MULT
  parameter \CLKFBOUT_PHASE
  parameter \CLKIN1_PERIOD
  parameter \CLKOUT0_DIVIDE
  parameter \CLKOUT0_DUTY_CYCLE
  parameter \CLKOUT0_PHASE
  parameter \CLKOUT1_DIVIDE
  parameter \CLKOUT1_DUTY_CYCLE
  parameter \CLKOUT1_PHASE
  parameter \CLKOUT2_DIVIDE
  parameter \CLKOUT2_DUTY_CYCLE
  parameter \CLKOUT2_PHASE
  parameter \CLKOUT3_DIVIDE
  parameter \CLKOUT3_DUTY_CYCLE
  parameter \CLKOUT3_PHASE
  parameter \CLKOUT4_DIVIDE
  parameter \CLKOUT4_DUTY_CYCLE
  parameter \CLKOUT4_PHASE
  parameter \CLKOUT5_DIVIDE
  parameter \CLKOUT5_DUTY_CYCLE
  parameter \CLKOUT5_PHASE
  parameter \DIVCLK_DIVIDE
  parameter \REF_JITTER1
  parameter \STARTUP_WAIT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3054"
  wire input 9 \CLKFBIN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3046"
  wire output 1 \CLKFBOUT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3055"
  wire input 10 \CLKIN1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3047"
  wire output 2 \CLKOUT0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3048"
  wire output 3 \CLKOUT1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3049"
  wire output 4 \CLKOUT2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3050"
  wire output 5 \CLKOUT3
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3051"
  wire output 6 \CLKOUT4
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3052"
  wire output 7 \CLKOUT5
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3053"
  wire output 8 \LOCKED
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3056"
  wire input 11 \PWRDWN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3057"
  wire input 12 \RST
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3060"
module \PS7
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3349"
  wire width 15 inout 289 \DDRA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3597"
  wire width 4 input 537 \DDRARB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3350"
  wire width 3 inout 290 \DDRBA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3335"
  wire inout 275 \DDRCASB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3336"
  wire inout 276 \DDRCKE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3337"
  wire inout 277 \DDRCKN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3338"
  wire inout 278 \DDRCKP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3339"
  wire inout 279 \DDRCSB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3352"
  wire width 4 inout 292 \DDRDM
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3351"
  wire width 32 inout 291 \DDRDQ
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3353"
  wire width 4 inout 293 \DDRDQSN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3354"
  wire width 4 inout 294 \DDRDQSP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3340"
  wire inout 280 \DDRDRSTB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3341"
  wire inout 281 \DDRODT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3342"
  wire inout 282 \DDRRASB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3343"
  wire inout 283 \DDRVRN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3344"
  wire inout 284 \DDRVRP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3345"
  wire inout 285 \DDRWEB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3356"
  wire input 296 \DMA0ACLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3357"
  wire input 297 \DMA0DAREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3219"
  wire width 2 output 159 \DMA0DATYPE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3061"
  wire output 1 \DMA0DAVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3358"
  wire input 298 \DMA0DRLAST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3062"
  wire output 2 \DMA0DRREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3508"
  wire width 2 input 448 \DMA0DRTYPE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3359"
  wire input 299 \DMA0DRVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3063"
  wire output 3 \DMA0RSTN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3360"
  wire input 300 \DMA1ACLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3361"
  wire input 301 \DMA1DAREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3220"
  wire width 2 output 160 \DMA1DATYPE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3064"
  wire output 4 \DMA1DAVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3362"
  wire input 302 \DMA1DRLAST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3065"
  wire output 5 \DMA1DRREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3509"
  wire width 2 input 449 \DMA1DRTYPE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3363"
  wire input 303 \DMA1DRVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3066"
  wire output 6 \DMA1RSTN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3364"
  wire input 304 \DMA2ACLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3365"
  wire input 305 \DMA2DAREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3221"
  wire width 2 output 161 \DMA2DATYPE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3067"
  wire output 7 \DMA2DAVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3366"
  wire input 306 \DMA2DRLAST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3068"
  wire output 8 \DMA2DRREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3510"
  wire width 2 input 450 \DMA2DRTYPE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3367"
  wire input 307 \DMA2DRVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3069"
  wire output 9 \DMA2RSTN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3368"
  wire input 308 \DMA3ACLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3369"
  wire input 309 \DMA3DAREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3222"
  wire width 2 output 162 \DMA3DATYPE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3070"
  wire output 10 \DMA3DAVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3370"
  wire input 310 \DMA3DRLAST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3071"
  wire output 11 \DMA3DRREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3511"
  wire width 2 input 451 \DMA3DRTYPE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3371"
  wire input 311 \DMA3DRVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3072"
  wire output 12 \DMA3RSTN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3372"
  wire input 312 \EMIOCAN0PHYRX
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3073"
  wire output 13 \EMIOCAN0PHYTX
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3373"
  wire input 313 \EMIOCAN1PHYRX
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3074"
  wire output 14 \EMIOCAN1PHYTX
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3374"
  wire input 314 \EMIOENET0EXTINTIN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3375"
  wire input 315 \EMIOENET0GMIICOL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3376"
  wire input 316 \EMIOENET0GMIICRS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3377"
  wire input 317 \EMIOENET0GMIIRXCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3674"
  wire width 8 input 614 \EMIOENET0GMIIRXD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3378"
  wire input 318 \EMIOENET0GMIIRXDV
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3379"
  wire input 319 \EMIOENET0GMIIRXER
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3380"
  wire input 320 \EMIOENET0GMIITXCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3325"
  wire width 8 output 265 \EMIOENET0GMIITXD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3075"
  wire output 15 \EMIOENET0GMIITXEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3076"
  wire output 16 \EMIOENET0GMIITXER
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3381"
  wire input 321 \EMIOENET0MDIOI
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3077"
  wire output 17 \EMIOENET0MDIOMDC
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3078"
  wire output 18 \EMIOENET0MDIOO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3079"
  wire output 19 \EMIOENET0MDIOTN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3080"
  wire output 20 \EMIOENET0PTPDELAYREQRX
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3081"
  wire output 21 \EMIOENET0PTPDELAYREQTX
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3082"
  wire output 22 \EMIOENET0PTPPDELAYREQRX
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3083"
  wire output 23 \EMIOENET0PTPPDELAYREQTX
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3084"
  wire output 24 \EMIOENET0PTPPDELAYRESPRX
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3085"
  wire output 25 \EMIOENET0PTPPDELAYRESPTX
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3086"
  wire output 26 \EMIOENET0PTPSYNCFRAMERX
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3087"
  wire output 27 \EMIOENET0PTPSYNCFRAMETX
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3088"
  wire output 28 \EMIOENET0SOFRX
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3089"
  wire output 29 \EMIOENET0SOFTX
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3382"
  wire input 322 \EMIOENET1EXTINTIN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3383"
  wire input 323 \EMIOENET1GMIICOL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3384"
  wire input 324 \EMIOENET1GMIICRS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3385"
  wire input 325 \EMIOENET1GMIIRXCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3675"
  wire width 8 input 615 \EMIOENET1GMIIRXD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3386"
  wire input 326 \EMIOENET1GMIIRXDV
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3387"
  wire input 327 \EMIOENET1GMIIRXER
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3388"
  wire input 328 \EMIOENET1GMIITXCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3326"
  wire width 8 output 266 \EMIOENET1GMIITXD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3090"
  wire output 30 \EMIOENET1GMIITXEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3091"
  wire output 31 \EMIOENET1GMIITXER
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3389"
  wire input 329 \EMIOENET1MDIOI
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3092"
  wire output 32 \EMIOENET1MDIOMDC
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3093"
  wire output 33 \EMIOENET1MDIOO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3094"
  wire output 34 \EMIOENET1MDIOTN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3095"
  wire output 35 \EMIOENET1PTPDELAYREQRX
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3096"
  wire output 36 \EMIOENET1PTPDELAYREQTX
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3097"
  wire output 37 \EMIOENET1PTPPDELAYREQRX
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3098"
  wire output 38 \EMIOENET1PTPPDELAYREQTX
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3099"
  wire output 39 \EMIOENET1PTPPDELAYRESPRX
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3100"
  wire output 40 \EMIOENET1PTPPDELAYRESPTX
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3101"
  wire output 41 \EMIOENET1PTPSYNCFRAMERX
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3102"
  wire output 42 \EMIOENET1PTPSYNCFRAMETX
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3103"
  wire output 43 \EMIOENET1SOFRX
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3104"
  wire output 44 \EMIOENET1SOFTX
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3668"
  wire width 64 input 608 \EMIOGPIOI
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3318"
  wire width 64 output 258 \EMIOGPIOO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3319"
  wire width 64 output 259 \EMIOGPIOTN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3390"
  wire input 330 \EMIOI2C0SCLI
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3105"
  wire output 45 \EMIOI2C0SCLO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3106"
  wire output 46 \EMIOI2C0SCLTN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3391"
  wire input 331 \EMIOI2C0SDAI
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3107"
  wire output 47 \EMIOI2C0SDAO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3108"
  wire output 48 \EMIOI2C0SDATN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3392"
  wire input 332 \EMIOI2C1SCLI
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3109"
  wire output 49 \EMIOI2C1SCLO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3110"
  wire output 50 \EMIOI2C1SCLTN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3393"
  wire input 333 \EMIOI2C1SDAI
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3111"
  wire output 51 \EMIOI2C1SDAO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3112"
  wire output 52 \EMIOI2C1SDATN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3394"
  wire input 334 \EMIOPJTAGTCK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3395"
  wire input 335 \EMIOPJTAGTDI
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3113"
  wire output 53 \EMIOPJTAGTDO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3114"
  wire output 54 \EMIOPJTAGTDTN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3396"
  wire input 336 \EMIOPJTAGTMS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3115"
  wire output 55 \EMIOSDIO0BUSPOW
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3254"
  wire width 3 output 194 \EMIOSDIO0BUSVOLT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3397"
  wire input 337 \EMIOSDIO0CDN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3116"
  wire output 56 \EMIOSDIO0CLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3398"
  wire input 338 \EMIOSDIO0CLKFB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3399"
  wire input 339 \EMIOSDIO0CMDI
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3117"
  wire output 57 \EMIOSDIO0CMDO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3118"
  wire output 58 \EMIOSDIO0CMDTN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3598"
  wire width 4 input 538 \EMIOSDIO0DATAI
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3280"
  wire width 4 output 220 \EMIOSDIO0DATAO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3281"
  wire width 4 output 221 \EMIOSDIO0DATATN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3119"
  wire output 59 \EMIOSDIO0LED
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3400"
  wire input 340 \EMIOSDIO0WP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3120"
  wire output 60 \EMIOSDIO1BUSPOW
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3255"
  wire width 3 output 195 \EMIOSDIO1BUSVOLT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3401"
  wire input 341 \EMIOSDIO1CDN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3121"
  wire output 61 \EMIOSDIO1CLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3402"
  wire input 342 \EMIOSDIO1CLKFB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3403"
  wire input 343 \EMIOSDIO1CMDI
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3122"
  wire output 62 \EMIOSDIO1CMDO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3123"
  wire output 63 \EMIOSDIO1CMDTN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3599"
  wire width 4 input 539 \EMIOSDIO1DATAI
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3282"
  wire width 4 output 222 \EMIOSDIO1DATAO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3283"
  wire width 4 output 223 \EMIOSDIO1DATATN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3124"
  wire output 64 \EMIOSDIO1LED
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3404"
  wire input 344 \EMIOSDIO1WP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3405"
  wire input 345 \EMIOSPI0MI
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3125"
  wire output 65 \EMIOSPI0MO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3126"
  wire output 66 \EMIOSPI0MOTN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3406"
  wire input 346 \EMIOSPI0SCLKI
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3127"
  wire output 67 \EMIOSPI0SCLKO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3128"
  wire output 68 \EMIOSPI0SCLKTN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3407"
  wire input 347 \EMIOSPI0SI
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3129"
  wire output 69 \EMIOSPI0SO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3408"
  wire input 348 \EMIOSPI0SSIN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3130"
  wire output 70 \EMIOSPI0SSNTN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3256"
  wire width 3 output 196 \EMIOSPI0SSON
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3131"
  wire output 71 \EMIOSPI0STN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3409"
  wire input 349 \EMIOSPI1MI
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3132"
  wire output 72 \EMIOSPI1MO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3133"
  wire output 73 \EMIOSPI1MOTN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3410"
  wire input 350 \EMIOSPI1SCLKI
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3134"
  wire output 74 \EMIOSPI1SCLKO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3135"
  wire output 75 \EMIOSPI1SCLKTN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3411"
  wire input 351 \EMIOSPI1SI
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3136"
  wire output 76 \EMIOSPI1SO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3412"
  wire input 352 \EMIOSPI1SSIN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3137"
  wire output 77 \EMIOSPI1SSNTN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3257"
  wire width 3 output 197 \EMIOSPI1SSON
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3138"
  wire output 78 \EMIOSPI1STN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3413"
  wire input 353 \EMIOSRAMINTIN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3414"
  wire input 354 \EMIOTRACECLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3139"
  wire output 79 \EMIOTRACECTL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3270"
  wire width 32 output 210 \EMIOTRACEDATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3558"
  wire width 3 input 498 \EMIOTTC0CLKI
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3258"
  wire width 3 output 198 \EMIOTTC0WAVEO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3559"
  wire width 3 input 499 \EMIOTTC1CLKI
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3259"
  wire width 3 output 199 \EMIOTTC1WAVEO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3415"
  wire input 355 \EMIOUART0CTSN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3416"
  wire input 356 \EMIOUART0DCDN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3417"
  wire input 357 \EMIOUART0DSRN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3140"
  wire output 80 \EMIOUART0DTRN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3418"
  wire input 358 \EMIOUART0RIN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3141"
  wire output 81 \EMIOUART0RTSN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3419"
  wire input 359 \EMIOUART0RX
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3142"
  wire output 82 \EMIOUART0TX
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3420"
  wire input 360 \EMIOUART1CTSN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3421"
  wire input 361 \EMIOUART1DCDN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3422"
  wire input 362 \EMIOUART1DSRN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3143"
  wire output 83 \EMIOUART1DTRN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3423"
  wire input 363 \EMIOUART1RIN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3144"
  wire output 84 \EMIOUART1RTSN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3424"
  wire input 364 \EMIOUART1RX
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3145"
  wire output 85 \EMIOUART1TX
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3223"
  wire width 2 output 163 \EMIOUSB0PORTINDCTL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3425"
  wire input 365 \EMIOUSB0VBUSPWRFAULT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3146"
  wire output 86 \EMIOUSB0VBUSPWRSELECT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3224"
  wire width 2 output 164 \EMIOUSB1PORTINDCTL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3426"
  wire input 366 \EMIOUSB1VBUSPWRFAULT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3147"
  wire output 87 \EMIOUSB1VBUSPWRSELECT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3427"
  wire input 367 \EMIOWDTCLKI
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3148"
  wire output 88 \EMIOWDTRSTO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3428"
  wire input 368 \EVENTEVENTI
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3149"
  wire output 89 \EVENTEVENTO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3225"
  wire width 2 output 165 \EVENTSTANDBYWFE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3226"
  wire width 2 output 166 \EVENTSTANDBYWFI
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3284"
  wire width 4 output 224 \FCLKCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3600"
  wire width 4 input 540 \FCLKCLKTRIGN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3285"
  wire width 4 output 225 \FCLKRESETN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3429"
  wire input 369 \FPGAIDLEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3601"
  wire width 4 input 541 \FTMDTRACEINATID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3430"
  wire input 370 \FTMDTRACEINCLOCK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3577"
  wire width 32 input 517 \FTMDTRACEINDATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3431"
  wire input 371 \FTMDTRACEINVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3578"
  wire width 32 input 518 \FTMTF2PDEBUG
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3602"
  wire width 4 input 542 \FTMTF2PTRIG
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3286"
  wire width 4 output 226 \FTMTF2PTRIGACK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3271"
  wire width 32 output 211 \FTMTP2FDEBUG
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3287"
  wire width 4 output 227 \FTMTP2FTRIG
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3603"
  wire width 4 input 543 \FTMTP2FTRIGACK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3507"
  wire width 20 input 447 \IRQF2P
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3253"
  wire width 29 output 193 \IRQP2F
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3432"
  wire input 372 \MAXIGP0ACLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3272"
  wire width 32 output 212 \MAXIGP0ARADDR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3227"
  wire width 2 output 167 \MAXIGP0ARBURST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3288"
  wire width 4 output 228 \MAXIGP0ARCACHE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3150"
  wire output 90 \MAXIGP0ARESETN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3213"
  wire width 12 output 153 \MAXIGP0ARID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3289"
  wire width 4 output 229 \MAXIGP0ARLEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3228"
  wire width 2 output 168 \MAXIGP0ARLOCK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3260"
  wire width 3 output 200 \MAXIGP0ARPROT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3290"
  wire width 4 output 230 \MAXIGP0ARQOS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3433"
  wire input 373 \MAXIGP0ARREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3229"
  wire width 2 output 169 \MAXIGP0ARSIZE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3151"
  wire output 91 \MAXIGP0ARVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3273"
  wire width 32 output 213 \MAXIGP0AWADDR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3230"
  wire width 2 output 170 \MAXIGP0AWBURST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3291"
  wire width 4 output 231 \MAXIGP0AWCACHE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3214"
  wire width 12 output 154 \MAXIGP0AWID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3292"
  wire width 4 output 232 \MAXIGP0AWLEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3231"
  wire width 2 output 171 \MAXIGP0AWLOCK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3261"
  wire width 3 output 201 \MAXIGP0AWPROT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3293"
  wire width 4 output 233 \MAXIGP0AWQOS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3434"
  wire input 374 \MAXIGP0AWREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3232"
  wire width 2 output 172 \MAXIGP0AWSIZE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3152"
  wire output 92 \MAXIGP0AWVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3503"
  wire width 12 input 443 \MAXIGP0BID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3153"
  wire output 93 \MAXIGP0BREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3512"
  wire width 2 input 452 \MAXIGP0BRESP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3435"
  wire input 375 \MAXIGP0BVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3579"
  wire width 32 input 519 \MAXIGP0RDATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3504"
  wire width 12 input 444 \MAXIGP0RID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3436"
  wire input 376 \MAXIGP0RLAST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3154"
  wire output 94 \MAXIGP0RREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3513"
  wire width 2 input 453 \MAXIGP0RRESP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3437"
  wire input 377 \MAXIGP0RVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3274"
  wire width 32 output 214 \MAXIGP0WDATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3215"
  wire width 12 output 155 \MAXIGP0WID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3155"
  wire output 95 \MAXIGP0WLAST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3438"
  wire input 378 \MAXIGP0WREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3294"
  wire width 4 output 234 \MAXIGP0WSTRB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3156"
  wire output 96 \MAXIGP0WVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3439"
  wire input 379 \MAXIGP1ACLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3275"
  wire width 32 output 215 \MAXIGP1ARADDR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3233"
  wire width 2 output 173 \MAXIGP1ARBURST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3295"
  wire width 4 output 235 \MAXIGP1ARCACHE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3157"
  wire output 97 \MAXIGP1ARESETN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3216"
  wire width 12 output 156 \MAXIGP1ARID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3296"
  wire width 4 output 236 \MAXIGP1ARLEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3234"
  wire width 2 output 174 \MAXIGP1ARLOCK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3262"
  wire width 3 output 202 \MAXIGP1ARPROT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3297"
  wire width 4 output 237 \MAXIGP1ARQOS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3440"
  wire input 380 \MAXIGP1ARREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3235"
  wire width 2 output 175 \MAXIGP1ARSIZE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3158"
  wire output 98 \MAXIGP1ARVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3276"
  wire width 32 output 216 \MAXIGP1AWADDR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3236"
  wire width 2 output 176 \MAXIGP1AWBURST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3298"
  wire width 4 output 238 \MAXIGP1AWCACHE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3217"
  wire width 12 output 157 \MAXIGP1AWID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3299"
  wire width 4 output 239 \MAXIGP1AWLEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3237"
  wire width 2 output 177 \MAXIGP1AWLOCK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3263"
  wire width 3 output 203 \MAXIGP1AWPROT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3300"
  wire width 4 output 240 \MAXIGP1AWQOS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3441"
  wire input 381 \MAXIGP1AWREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3238"
  wire width 2 output 178 \MAXIGP1AWSIZE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3159"
  wire output 99 \MAXIGP1AWVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3505"
  wire width 12 input 445 \MAXIGP1BID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3160"
  wire output 100 \MAXIGP1BREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3514"
  wire width 2 input 454 \MAXIGP1BRESP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3442"
  wire input 382 \MAXIGP1BVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3580"
  wire width 32 input 520 \MAXIGP1RDATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3506"
  wire width 12 input 446 \MAXIGP1RID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3443"
  wire input 383 \MAXIGP1RLAST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3161"
  wire output 101 \MAXIGP1RREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3515"
  wire width 2 input 455 \MAXIGP1RRESP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3444"
  wire input 384 \MAXIGP1RVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3277"
  wire width 32 output 217 \MAXIGP1WDATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3218"
  wire width 12 output 158 \MAXIGP1WID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3162"
  wire output 102 \MAXIGP1WLAST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3445"
  wire input 385 \MAXIGP1WREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3301"
  wire width 4 output 241 \MAXIGP1WSTRB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3163"
  wire output 103 \MAXIGP1WVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3355"
  wire width 54 inout 295 \MIO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3346"
  wire inout 286 \PSCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3347"
  wire inout 287 \PSPORB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3348"
  wire inout 288 \PSSRSTB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3446"
  wire input 386 \SAXIACPACLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3581"
  wire width 32 input 521 \SAXIACPARADDR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3516"
  wire width 2 input 456 \SAXIACPARBURST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3604"
  wire width 4 input 544 \SAXIACPARCACHE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3164"
  wire output 104 \SAXIACPARESETN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3560"
  wire width 3 input 500 \SAXIACPARID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3605"
  wire width 4 input 545 \SAXIACPARLEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3517"
  wire width 2 input 457 \SAXIACPARLOCK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3561"
  wire width 3 input 501 \SAXIACPARPROT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3606"
  wire width 4 input 546 \SAXIACPARQOS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3165"
  wire output 105 \SAXIACPARREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3518"
  wire width 2 input 458 \SAXIACPARSIZE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3648"
  wire width 5 input 588 \SAXIACPARUSER
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3447"
  wire input 387 \SAXIACPARVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3582"
  wire width 32 input 522 \SAXIACPAWADDR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3519"
  wire width 2 input 459 \SAXIACPAWBURST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3607"
  wire width 4 input 547 \SAXIACPAWCACHE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3562"
  wire width 3 input 502 \SAXIACPAWID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3608"
  wire width 4 input 548 \SAXIACPAWLEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3520"
  wire width 2 input 460 \SAXIACPAWLOCK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3563"
  wire width 3 input 503 \SAXIACPAWPROT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3609"
  wire width 4 input 549 \SAXIACPAWQOS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3166"
  wire output 106 \SAXIACPAWREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3521"
  wire width 2 input 461 \SAXIACPAWSIZE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3649"
  wire width 5 input 589 \SAXIACPAWUSER
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3448"
  wire input 388 \SAXIACPAWVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3264"
  wire width 3 output 204 \SAXIACPBID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3449"
  wire input 389 \SAXIACPBREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3239"
  wire width 2 output 179 \SAXIACPBRESP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3167"
  wire output 107 \SAXIACPBVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3320"
  wire width 64 output 260 \SAXIACPRDATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3265"
  wire width 3 output 205 \SAXIACPRID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3168"
  wire output 108 \SAXIACPRLAST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3450"
  wire input 390 \SAXIACPRREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3240"
  wire width 2 output 180 \SAXIACPRRESP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3169"
  wire output 109 \SAXIACPRVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3669"
  wire width 64 input 609 \SAXIACPWDATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3564"
  wire width 3 input 504 \SAXIACPWID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3451"
  wire input 391 \SAXIACPWLAST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3170"
  wire output 110 \SAXIACPWREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3676"
  wire width 8 input 616 \SAXIACPWSTRB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3452"
  wire input 392 \SAXIACPWVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3453"
  wire input 393 \SAXIGP0ACLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3583"
  wire width 32 input 523 \SAXIGP0ARADDR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3522"
  wire width 2 input 462 \SAXIGP0ARBURST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3610"
  wire width 4 input 550 \SAXIGP0ARCACHE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3171"
  wire output 111 \SAXIGP0ARESETN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3650"
  wire width 6 input 590 \SAXIGP0ARID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3611"
  wire width 4 input 551 \SAXIGP0ARLEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3523"
  wire width 2 input 463 \SAXIGP0ARLOCK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3565"
  wire width 3 input 505 \SAXIGP0ARPROT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3612"
  wire width 4 input 552 \SAXIGP0ARQOS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3172"
  wire output 112 \SAXIGP0ARREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3524"
  wire width 2 input 464 \SAXIGP0ARSIZE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3454"
  wire input 394 \SAXIGP0ARVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3584"
  wire width 32 input 524 \SAXIGP0AWADDR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3525"
  wire width 2 input 465 \SAXIGP0AWBURST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3613"
  wire width 4 input 553 \SAXIGP0AWCACHE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3651"
  wire width 6 input 591 \SAXIGP0AWID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3614"
  wire width 4 input 554 \SAXIGP0AWLEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3526"
  wire width 2 input 466 \SAXIGP0AWLOCK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3566"
  wire width 3 input 506 \SAXIGP0AWPROT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3615"
  wire width 4 input 555 \SAXIGP0AWQOS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3173"
  wire output 113 \SAXIGP0AWREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3527"
  wire width 2 input 467 \SAXIGP0AWSIZE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3455"
  wire input 395 \SAXIGP0AWVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3302"
  wire width 6 output 242 \SAXIGP0BID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3456"
  wire input 396 \SAXIGP0BREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3241"
  wire width 2 output 181 \SAXIGP0BRESP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3174"
  wire output 114 \SAXIGP0BVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3278"
  wire width 32 output 218 \SAXIGP0RDATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3303"
  wire width 6 output 243 \SAXIGP0RID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3175"
  wire output 115 \SAXIGP0RLAST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3457"
  wire input 397 \SAXIGP0RREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3242"
  wire width 2 output 182 \SAXIGP0RRESP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3176"
  wire output 116 \SAXIGP0RVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3585"
  wire width 32 input 525 \SAXIGP0WDATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3652"
  wire width 6 input 592 \SAXIGP0WID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3458"
  wire input 398 \SAXIGP0WLAST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3177"
  wire output 117 \SAXIGP0WREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3616"
  wire width 4 input 556 \SAXIGP0WSTRB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3459"
  wire input 399 \SAXIGP0WVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3460"
  wire input 400 \SAXIGP1ACLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3586"
  wire width 32 input 526 \SAXIGP1ARADDR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3528"
  wire width 2 input 468 \SAXIGP1ARBURST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3617"
  wire width 4 input 557 \SAXIGP1ARCACHE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3178"
  wire output 118 \SAXIGP1ARESETN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3653"
  wire width 6 input 593 \SAXIGP1ARID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3618"
  wire width 4 input 558 \SAXIGP1ARLEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3529"
  wire width 2 input 469 \SAXIGP1ARLOCK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3567"
  wire width 3 input 507 \SAXIGP1ARPROT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3619"
  wire width 4 input 559 \SAXIGP1ARQOS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3179"
  wire output 119 \SAXIGP1ARREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3530"
  wire width 2 input 470 \SAXIGP1ARSIZE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3461"
  wire input 401 \SAXIGP1ARVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3587"
  wire width 32 input 527 \SAXIGP1AWADDR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3531"
  wire width 2 input 471 \SAXIGP1AWBURST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3620"
  wire width 4 input 560 \SAXIGP1AWCACHE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3654"
  wire width 6 input 594 \SAXIGP1AWID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3621"
  wire width 4 input 561 \SAXIGP1AWLEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3532"
  wire width 2 input 472 \SAXIGP1AWLOCK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3568"
  wire width 3 input 508 \SAXIGP1AWPROT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3622"
  wire width 4 input 562 \SAXIGP1AWQOS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3180"
  wire output 120 \SAXIGP1AWREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3533"
  wire width 2 input 473 \SAXIGP1AWSIZE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3462"
  wire input 402 \SAXIGP1AWVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3304"
  wire width 6 output 244 \SAXIGP1BID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3463"
  wire input 403 \SAXIGP1BREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3243"
  wire width 2 output 183 \SAXIGP1BRESP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3181"
  wire output 121 \SAXIGP1BVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3279"
  wire width 32 output 219 \SAXIGP1RDATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3305"
  wire width 6 output 245 \SAXIGP1RID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3182"
  wire output 122 \SAXIGP1RLAST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3464"
  wire input 404 \SAXIGP1RREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3244"
  wire width 2 output 184 \SAXIGP1RRESP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3183"
  wire output 123 \SAXIGP1RVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3588"
  wire width 32 input 528 \SAXIGP1WDATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3655"
  wire width 6 input 595 \SAXIGP1WID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3465"
  wire input 405 \SAXIGP1WLAST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3184"
  wire output 124 \SAXIGP1WREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3623"
  wire width 4 input 563 \SAXIGP1WSTRB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3466"
  wire input 406 \SAXIGP1WVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3467"
  wire input 407 \SAXIHP0ACLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3589"
  wire width 32 input 529 \SAXIHP0ARADDR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3534"
  wire width 2 input 474 \SAXIHP0ARBURST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3624"
  wire width 4 input 564 \SAXIHP0ARCACHE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3185"
  wire output 125 \SAXIHP0ARESETN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3656"
  wire width 6 input 596 \SAXIHP0ARID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3625"
  wire width 4 input 565 \SAXIHP0ARLEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3535"
  wire width 2 input 475 \SAXIHP0ARLOCK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3569"
  wire width 3 input 509 \SAXIHP0ARPROT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3626"
  wire width 4 input 566 \SAXIHP0ARQOS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3186"
  wire output 126 \SAXIHP0ARREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3536"
  wire width 2 input 476 \SAXIHP0ARSIZE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3468"
  wire input 408 \SAXIHP0ARVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3590"
  wire width 32 input 530 \SAXIHP0AWADDR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3537"
  wire width 2 input 477 \SAXIHP0AWBURST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3627"
  wire width 4 input 567 \SAXIHP0AWCACHE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3657"
  wire width 6 input 597 \SAXIHP0AWID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3628"
  wire width 4 input 568 \SAXIHP0AWLEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3538"
  wire width 2 input 478 \SAXIHP0AWLOCK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3570"
  wire width 3 input 510 \SAXIHP0AWPROT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3629"
  wire width 4 input 569 \SAXIHP0AWQOS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3187"
  wire output 127 \SAXIHP0AWREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3539"
  wire width 2 input 479 \SAXIHP0AWSIZE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3469"
  wire input 409 \SAXIHP0AWVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3306"
  wire width 6 output 246 \SAXIHP0BID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3470"
  wire input 410 \SAXIHP0BREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3245"
  wire width 2 output 185 \SAXIHP0BRESP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3188"
  wire output 128 \SAXIHP0BVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3266"
  wire width 3 output 206 \SAXIHP0RACOUNT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3327"
  wire width 8 output 267 \SAXIHP0RCOUNT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3321"
  wire width 64 output 261 \SAXIHP0RDATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3471"
  wire input 411 \SAXIHP0RDISSUECAP1EN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3307"
  wire width 6 output 247 \SAXIHP0RID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3189"
  wire output 129 \SAXIHP0RLAST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3472"
  wire input 412 \SAXIHP0RREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3246"
  wire width 2 output 186 \SAXIHP0RRESP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3190"
  wire output 130 \SAXIHP0RVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3308"
  wire width 6 output 248 \SAXIHP0WACOUNT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3328"
  wire width 8 output 268 \SAXIHP0WCOUNT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3670"
  wire width 64 input 610 \SAXIHP0WDATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3658"
  wire width 6 input 598 \SAXIHP0WID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3473"
  wire input 413 \SAXIHP0WLAST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3191"
  wire output 131 \SAXIHP0WREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3474"
  wire input 414 \SAXIHP0WRISSUECAP1EN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3677"
  wire width 8 input 617 \SAXIHP0WSTRB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3475"
  wire input 415 \SAXIHP0WVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3476"
  wire input 416 \SAXIHP1ACLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3591"
  wire width 32 input 531 \SAXIHP1ARADDR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3540"
  wire width 2 input 480 \SAXIHP1ARBURST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3630"
  wire width 4 input 570 \SAXIHP1ARCACHE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3192"
  wire output 132 \SAXIHP1ARESETN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3659"
  wire width 6 input 599 \SAXIHP1ARID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3631"
  wire width 4 input 571 \SAXIHP1ARLEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3541"
  wire width 2 input 481 \SAXIHP1ARLOCK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3571"
  wire width 3 input 511 \SAXIHP1ARPROT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3632"
  wire width 4 input 572 \SAXIHP1ARQOS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3193"
  wire output 133 \SAXIHP1ARREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3542"
  wire width 2 input 482 \SAXIHP1ARSIZE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3477"
  wire input 417 \SAXIHP1ARVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3592"
  wire width 32 input 532 \SAXIHP1AWADDR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3543"
  wire width 2 input 483 \SAXIHP1AWBURST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3633"
  wire width 4 input 573 \SAXIHP1AWCACHE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3660"
  wire width 6 input 600 \SAXIHP1AWID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3634"
  wire width 4 input 574 \SAXIHP1AWLEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3544"
  wire width 2 input 484 \SAXIHP1AWLOCK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3572"
  wire width 3 input 512 \SAXIHP1AWPROT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3635"
  wire width 4 input 575 \SAXIHP1AWQOS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3194"
  wire output 134 \SAXIHP1AWREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3545"
  wire width 2 input 485 \SAXIHP1AWSIZE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3478"
  wire input 418 \SAXIHP1AWVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3309"
  wire width 6 output 249 \SAXIHP1BID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3479"
  wire input 419 \SAXIHP1BREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3247"
  wire width 2 output 187 \SAXIHP1BRESP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3195"
  wire output 135 \SAXIHP1BVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3267"
  wire width 3 output 207 \SAXIHP1RACOUNT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3329"
  wire width 8 output 269 \SAXIHP1RCOUNT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3322"
  wire width 64 output 262 \SAXIHP1RDATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3480"
  wire input 420 \SAXIHP1RDISSUECAP1EN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3310"
  wire width 6 output 250 \SAXIHP1RID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3196"
  wire output 136 \SAXIHP1RLAST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3481"
  wire input 421 \SAXIHP1RREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3248"
  wire width 2 output 188 \SAXIHP1RRESP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3197"
  wire output 137 \SAXIHP1RVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3311"
  wire width 6 output 251 \SAXIHP1WACOUNT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3330"
  wire width 8 output 270 \SAXIHP1WCOUNT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3671"
  wire width 64 input 611 \SAXIHP1WDATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3661"
  wire width 6 input 601 \SAXIHP1WID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3482"
  wire input 422 \SAXIHP1WLAST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3198"
  wire output 138 \SAXIHP1WREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3483"
  wire input 423 \SAXIHP1WRISSUECAP1EN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3678"
  wire width 8 input 618 \SAXIHP1WSTRB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3484"
  wire input 424 \SAXIHP1WVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3485"
  wire input 425 \SAXIHP2ACLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3593"
  wire width 32 input 533 \SAXIHP2ARADDR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3546"
  wire width 2 input 486 \SAXIHP2ARBURST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3636"
  wire width 4 input 576 \SAXIHP2ARCACHE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3199"
  wire output 139 \SAXIHP2ARESETN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3662"
  wire width 6 input 602 \SAXIHP2ARID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3637"
  wire width 4 input 577 \SAXIHP2ARLEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3547"
  wire width 2 input 487 \SAXIHP2ARLOCK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3573"
  wire width 3 input 513 \SAXIHP2ARPROT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3638"
  wire width 4 input 578 \SAXIHP2ARQOS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3200"
  wire output 140 \SAXIHP2ARREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3548"
  wire width 2 input 488 \SAXIHP2ARSIZE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3486"
  wire input 426 \SAXIHP2ARVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3594"
  wire width 32 input 534 \SAXIHP2AWADDR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3549"
  wire width 2 input 489 \SAXIHP2AWBURST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3639"
  wire width 4 input 579 \SAXIHP2AWCACHE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3663"
  wire width 6 input 603 \SAXIHP2AWID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3640"
  wire width 4 input 580 \SAXIHP2AWLEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3550"
  wire width 2 input 490 \SAXIHP2AWLOCK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3574"
  wire width 3 input 514 \SAXIHP2AWPROT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3641"
  wire width 4 input 581 \SAXIHP2AWQOS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3201"
  wire output 141 \SAXIHP2AWREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3551"
  wire width 2 input 491 \SAXIHP2AWSIZE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3487"
  wire input 427 \SAXIHP2AWVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3312"
  wire width 6 output 252 \SAXIHP2BID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3488"
  wire input 428 \SAXIHP2BREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3249"
  wire width 2 output 189 \SAXIHP2BRESP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3202"
  wire output 142 \SAXIHP2BVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3268"
  wire width 3 output 208 \SAXIHP2RACOUNT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3331"
  wire width 8 output 271 \SAXIHP2RCOUNT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3323"
  wire width 64 output 263 \SAXIHP2RDATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3489"
  wire input 429 \SAXIHP2RDISSUECAP1EN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3313"
  wire width 6 output 253 \SAXIHP2RID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3203"
  wire output 143 \SAXIHP2RLAST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3490"
  wire input 430 \SAXIHP2RREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3250"
  wire width 2 output 190 \SAXIHP2RRESP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3204"
  wire output 144 \SAXIHP2RVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3314"
  wire width 6 output 254 \SAXIHP2WACOUNT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3332"
  wire width 8 output 272 \SAXIHP2WCOUNT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3672"
  wire width 64 input 612 \SAXIHP2WDATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3664"
  wire width 6 input 604 \SAXIHP2WID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3491"
  wire input 431 \SAXIHP2WLAST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3205"
  wire output 145 \SAXIHP2WREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3492"
  wire input 432 \SAXIHP2WRISSUECAP1EN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3679"
  wire width 8 input 619 \SAXIHP2WSTRB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3493"
  wire input 433 \SAXIHP2WVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3494"
  wire input 434 \SAXIHP3ACLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3595"
  wire width 32 input 535 \SAXIHP3ARADDR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3552"
  wire width 2 input 492 \SAXIHP3ARBURST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3642"
  wire width 4 input 582 \SAXIHP3ARCACHE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3206"
  wire output 146 \SAXIHP3ARESETN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3665"
  wire width 6 input 605 \SAXIHP3ARID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3643"
  wire width 4 input 583 \SAXIHP3ARLEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3553"
  wire width 2 input 493 \SAXIHP3ARLOCK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3575"
  wire width 3 input 515 \SAXIHP3ARPROT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3644"
  wire width 4 input 584 \SAXIHP3ARQOS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3207"
  wire output 147 \SAXIHP3ARREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3554"
  wire width 2 input 494 \SAXIHP3ARSIZE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3495"
  wire input 435 \SAXIHP3ARVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3596"
  wire width 32 input 536 \SAXIHP3AWADDR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3555"
  wire width 2 input 495 \SAXIHP3AWBURST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3645"
  wire width 4 input 585 \SAXIHP3AWCACHE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3666"
  wire width 6 input 606 \SAXIHP3AWID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3646"
  wire width 4 input 586 \SAXIHP3AWLEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3556"
  wire width 2 input 496 \SAXIHP3AWLOCK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3576"
  wire width 3 input 516 \SAXIHP3AWPROT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3647"
  wire width 4 input 587 \SAXIHP3AWQOS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3208"
  wire output 148 \SAXIHP3AWREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3557"
  wire width 2 input 497 \SAXIHP3AWSIZE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3496"
  wire input 436 \SAXIHP3AWVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3315"
  wire width 6 output 255 \SAXIHP3BID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3497"
  wire input 437 \SAXIHP3BREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3251"
  wire width 2 output 191 \SAXIHP3BRESP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3209"
  wire output 149 \SAXIHP3BVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3269"
  wire width 3 output 209 \SAXIHP3RACOUNT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3333"
  wire width 8 output 273 \SAXIHP3RCOUNT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3324"
  wire width 64 output 264 \SAXIHP3RDATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3498"
  wire input 438 \SAXIHP3RDISSUECAP1EN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3316"
  wire width 6 output 256 \SAXIHP3RID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3210"
  wire output 150 \SAXIHP3RLAST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3499"
  wire input 439 \SAXIHP3RREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3252"
  wire width 2 output 192 \SAXIHP3RRESP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3211"
  wire output 151 \SAXIHP3RVALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3317"
  wire width 6 output 257 \SAXIHP3WACOUNT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3334"
  wire width 8 output 274 \SAXIHP3WCOUNT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3673"
  wire width 64 input 613 \SAXIHP3WDATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3667"
  wire width 6 input 607 \SAXIHP3WID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3500"
  wire input 440 \SAXIHP3WLAST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3212"
  wire output 152 \SAXIHP3WREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3501"
  wire input 441 \SAXIHP3WRISSUECAP1EN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3680"
  wire width 8 input 620 \SAXIHP3WSTRB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3502"
  wire input 442 \SAXIHP3WVALID
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3683"
module \PULLDOWN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3684"
  wire output 1 \O
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3687"
module \PULLUP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3688"
  wire output 1 \O
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:176"
module \RAM128X1D
  parameter \INIT
  parameter \IS_WCLK_INVERTED
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:179"
  wire width 7 input 6 \A
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:178"
  wire input 3 \D
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:177"
  wire output 1 \DPO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:179"
  wire width 7 input 7 \DPRA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:177"
  wire output 2 \SPO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:178"
  wire input 4 \WCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:178"
  wire input 5 \WE
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3691"
module \RAM128X1S
  parameter \INIT
  parameter \IS_WCLK_INVERTED
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3695"
  wire input 2 \A0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3695"
  wire input 3 \A1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3695"
  wire input 4 \A2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3695"
  wire input 5 \A3
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3695"
  wire input 6 \A4
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3695"
  wire input 7 \A5
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3695"
  wire input 8 \A6
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3695"
  wire input 9 \D
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3694"
  wire output 1 \O
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3695"
  wire input 10 \WCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3695"
  wire input 11 \WE
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3698"
module \RAM256X1S
  parameter \INIT
  parameter \IS_WCLK_INVERTED
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3702"
  wire width 8 input 2 \A
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3703"
  wire input 3 \D
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3701"
  wire output 1 \O
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3704"
  wire input 4 \WCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3705"
  wire input 5 \WE
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3708"
module \RAM32M
  parameter \INIT_A
  parameter \INIT_B
  parameter \INIT_C
  parameter \INIT_D
  parameter \IS_WCLK_INVERTED
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3718"
  wire width 5 input 5 \ADDRA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3719"
  wire width 5 input 6 \ADDRB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3720"
  wire width 5 input 7 \ADDRC
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3721"
  wire width 5 input 8 \ADDRD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3722"
  wire width 2 input 9 \DIA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3723"
  wire width 2 input 10 \DIB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3724"
  wire width 2 input 11 \DIC
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3725"
  wire width 2 input 12 \DID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3714"
  wire width 2 output 1 \DOA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3715"
  wire width 2 output 2 \DOB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3716"
  wire width 2 output 3 \DOC
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3717"
  wire width 2 output 4 \DOD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3726"
  wire input 13 \WCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3727"
  wire input 14 \WE
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3730"
module \RAM32X1D
  parameter \INIT
  parameter \IS_WCLK_INVERTED
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3734"
  wire input 3 \A0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3734"
  wire input 4 \A1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3734"
  wire input 5 \A2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3734"
  wire input 6 \A3
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3734"
  wire input 7 \A4
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3734"
  wire input 8 \D
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3733"
  wire output 1 \DPO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3734"
  wire input 9 \DPRA0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3734"
  wire input 10 \DPRA1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3734"
  wire input 11 \DPRA2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3734"
  wire input 12 \DPRA3
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3734"
  wire input 13 \DPRA4
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3733"
  wire output 2 \SPO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3734"
  wire input 14 \WCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3734"
  wire input 15 \WE
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3737"
module \RAM32X1S
  parameter \INIT
  parameter \IS_WCLK_INVERTED
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3741"
  wire input 2 \A0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3741"
  wire input 3 \A1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3741"
  wire input 4 \A2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3741"
  wire input 5 \A3
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3741"
  wire input 6 \A4
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3741"
  wire input 7 \D
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3740"
  wire output 1 \O
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3741"
  wire input 8 \WCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3741"
  wire input 9 \WE
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3744"
module \RAM32X1S_1
  parameter \INIT
  parameter \IS_WCLK_INVERTED
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3748"
  wire input 2 \A0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3748"
  wire input 3 \A1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3748"
  wire input 4 \A2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3748"
  wire input 5 \A3
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3748"
  wire input 6 \A4
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3748"
  wire input 7 \D
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3747"
  wire output 1 \O
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3748"
  wire input 8 \WCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3748"
  wire input 9 \WE
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3751"
module \RAM32X2S
  parameter \INIT_00
  parameter \INIT_01
  parameter \IS_WCLK_INVERTED
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3756"
  wire input 3 \A0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3756"
  wire input 4 \A1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3756"
  wire input 5 \A2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3756"
  wire input 6 \A3
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3756"
  wire input 7 \A4
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3756"
  wire input 8 \D0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3756"
  wire input 9 \D1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3755"
  wire output 1 \O0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3755"
  wire output 2 \O1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3756"
  wire input 10 \WCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3756"
  wire input 11 \WE
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3759"
module \RAM64M
  parameter \INIT_A
  parameter \INIT_B
  parameter \INIT_C
  parameter \INIT_D
  parameter \IS_WCLK_INVERTED
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3769"
  wire width 6 input 5 \ADDRA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3770"
  wire width 6 input 6 \ADDRB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3771"
  wire width 6 input 7 \ADDRC
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3772"
  wire width 6 input 8 \ADDRD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3773"
  wire input 9 \DIA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3774"
  wire input 10 \DIB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3775"
  wire input 11 \DIC
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3776"
  wire input 12 \DID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3765"
  wire output 1 \DOA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3766"
  wire output 2 \DOB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3767"
  wire output 3 \DOC
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3768"
  wire output 4 \DOD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3777"
  wire input 13 \WCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3778"
  wire input 14 \WE
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:159"
module \RAM64X1D
  parameter \INIT
  parameter \IS_WCLK_INVERTED
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:162"
  wire input 6 \A0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:162"
  wire input 7 \A1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:162"
  wire input 8 \A2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:162"
  wire input 9 \A3
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:162"
  wire input 10 \A4
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:162"
  wire input 11 \A5
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:161"
  wire input 3 \D
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:160"
  wire output 1 \DPO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:163"
  wire input 12 \DPRA0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:163"
  wire input 13 \DPRA1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:163"
  wire input 14 \DPRA2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:163"
  wire input 15 \DPRA3
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:163"
  wire input 16 \DPRA4
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:163"
  wire input 17 \DPRA5
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:160"
  wire output 2 \SPO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:161"
  wire input 4 \WCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:161"
  wire input 5 \WE
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3781"
module \RAM64X1S
  parameter \INIT
  parameter \IS_WCLK_INVERTED
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3785"
  wire input 2 \A0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3785"
  wire input 3 \A1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3785"
  wire input 4 \A2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3785"
  wire input 5 \A3
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3785"
  wire input 6 \A4
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3785"
  wire input 7 \A5
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3785"
  wire input 8 \D
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3784"
  wire output 1 \O
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3785"
  wire input 9 \WCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3785"
  wire input 10 \WE
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3788"
module \RAM64X1S_1
  parameter \INIT
  parameter \IS_WCLK_INVERTED
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3792"
  wire input 2 \A0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3792"
  wire input 3 \A1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3792"
  wire input 4 \A2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3792"
  wire input 5 \A3
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3792"
  wire input 6 \A4
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3792"
  wire input 7 \A5
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3792"
  wire input 8 \D
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3791"
  wire output 1 \O
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3792"
  wire input 9 \WCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3792"
  wire input 10 \WE
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3795"
module \RAM64X2S
  parameter \INIT_00
  parameter \INIT_01
  parameter \IS_WCLK_INVERTED
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3800"
  wire input 3 \A0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3800"
  wire input 4 \A1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3800"
  wire input 5 \A2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3800"
  wire input 6 \A3
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3800"
  wire input 7 \A4
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3800"
  wire input 8 \A5
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3800"
  wire input 9 \D0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3800"
  wire input 10 \D1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3799"
  wire output 1 \O0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3799"
  wire output 2 \O1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3800"
  wire input 11 \WCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3800"
  wire input 12 \WE
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/brams_bb.v:1"
module \RAMB18E1
  parameter \DOA_REG
  parameter \DOB_REG
  parameter \INITP_00
  parameter \INITP_01
  parameter \INITP_02
  parameter \INITP_03
  parameter \INITP_04
  parameter \INITP_05
  parameter \INITP_06
  parameter \INITP_07
  parameter \INIT_00
  parameter \INIT_01
  parameter \INIT_02
  parameter \INIT_03
  parameter \INIT_04
  parameter \INIT_05
  parameter \INIT_06
  parameter \INIT_07
  parameter \INIT_08
  parameter \INIT_09
  parameter \INIT_0A
  parameter \INIT_0B
  parameter \INIT_0C
  parameter \INIT_0D
  parameter \INIT_0E
  parameter \INIT_0F
  parameter \INIT_10
  parameter \INIT_11
  parameter \INIT_12
  parameter \INIT_13
  parameter \INIT_14
  parameter \INIT_15
  parameter \INIT_16
  parameter \INIT_17
  parameter \INIT_18
  parameter \INIT_19
  parameter \INIT_1A
  parameter \INIT_1B
  parameter \INIT_1C
  parameter \INIT_1D
  parameter \INIT_1E
  parameter \INIT_1F
  parameter \INIT_20
  parameter \INIT_21
  parameter \INIT_22
  parameter \INIT_23
  parameter \INIT_24
  parameter \INIT_25
  parameter \INIT_26
  parameter \INIT_27
  parameter \INIT_28
  parameter \INIT_29
  parameter \INIT_2A
  parameter \INIT_2B
  parameter \INIT_2C
  parameter \INIT_2D
  parameter \INIT_2E
  parameter \INIT_2F
  parameter \INIT_30
  parameter \INIT_31
  parameter \INIT_32
  parameter \INIT_33
  parameter \INIT_34
  parameter \INIT_35
  parameter \INIT_36
  parameter \INIT_37
  parameter \INIT_38
  parameter \INIT_39
  parameter \INIT_3A
  parameter \INIT_3B
  parameter \INIT_3C
  parameter \INIT_3D
  parameter \INIT_3E
  parameter \INIT_3F
  parameter \IS_CLKARDCLK_INVERTED
  parameter \IS_CLKBWRCLK_INVERTED
  parameter \IS_ENARDEN_INVERTED
  parameter \IS_ENBWREN_INVERTED
  parameter \IS_RSTRAMARSTRAM_INVERTED
  parameter \IS_RSTRAMB_INVERTED
  parameter \IS_RSTREGARSTREG_INVERTED
  parameter \IS_RSTREGB_INVERTED
  parameter \RAM_MODE
  parameter \READ_WIDTH_A
  parameter \READ_WIDTH_B
  parameter \SIM_DEVICE
  parameter \WRITE_MODE_A
  parameter \WRITE_MODE_B
  parameter \WRITE_WIDTH_A
  parameter \WRITE_WIDTH_B
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/brams_bb.v:13"
  wire width 14 input 11 \ADDRARDADDR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/brams_bb.v:14"
  wire width 14 input 12 \ADDRBWRADDR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/brams_bb.v:2"
  wire input 1 \CLKARDCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/brams_bb.v:3"
  wire input 2 \CLKBWRCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/brams_bb.v:15"
  wire width 16 input 13 \DIADI
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/brams_bb.v:16"
  wire width 16 input 14 \DIBDI
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/brams_bb.v:17"
  wire width 2 input 15 \DIPADIP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/brams_bb.v:18"
  wire width 2 input 16 \DIPBDIP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/brams_bb.v:22"
  wire width 16 output 19 \DOADO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/brams_bb.v:23"
  wire width 16 output 20 \DOBDO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/brams_bb.v:24"
  wire width 2 output 21 \DOPADOP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/brams_bb.v:25"
  wire width 2 output 22 \DOPBDOP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/brams_bb.v:4"
  wire input 3 \ENARDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/brams_bb.v:5"
  wire input 4 \ENBWREN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/brams_bb.v:6"
  wire input 5 \REGCEAREGCE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/brams_bb.v:7"
  wire input 6 \REGCEB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/brams_bb.v:8"
  wire input 7 \RSTRAMARSTRAM
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/brams_bb.v:9"
  wire input 8 \RSTRAMB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/brams_bb.v:10"
  wire input 9 \RSTREGARSTREG
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/brams_bb.v:11"
  wire input 10 \RSTREGB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/brams_bb.v:19"
  wire width 2 input 17 \WEA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/brams_bb.v:20"
  wire width 4 input 18 \WEBWE
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/brams_bb.v:125"
module \RAMB36E1
  parameter \DOA_REG
  parameter \DOB_REG
  parameter \INITP_00
  parameter \INITP_01
  parameter \INITP_02
  parameter \INITP_03
  parameter \INITP_04
  parameter \INITP_05
  parameter \INITP_06
  parameter \INITP_07
  parameter \INITP_08
  parameter \INITP_09
  parameter \INITP_0A
  parameter \INITP_0B
  parameter \INITP_0C
  parameter \INITP_0D
  parameter \INITP_0E
  parameter \INITP_0F
  parameter \INIT_00
  parameter \INIT_01
  parameter \INIT_02
  parameter \INIT_03
  parameter \INIT_04
  parameter \INIT_05
  parameter \INIT_06
  parameter \INIT_07
  parameter \INIT_08
  parameter \INIT_09
  parameter \INIT_0A
  parameter \INIT_0B
  parameter \INIT_0C
  parameter \INIT_0D
  parameter \INIT_0E
  parameter \INIT_0F
  parameter \INIT_10
  parameter \INIT_11
  parameter \INIT_12
  parameter \INIT_13
  parameter \INIT_14
  parameter \INIT_15
  parameter \INIT_16
  parameter \INIT_17
  parameter \INIT_18
  parameter \INIT_19
  parameter \INIT_1A
  parameter \INIT_1B
  parameter \INIT_1C
  parameter \INIT_1D
  parameter \INIT_1E
  parameter \INIT_1F
  parameter \INIT_20
  parameter \INIT_21
  parameter \INIT_22
  parameter \INIT_23
  parameter \INIT_24
  parameter \INIT_25
  parameter \INIT_26
  parameter \INIT_27
  parameter \INIT_28
  parameter \INIT_29
  parameter \INIT_2A
  parameter \INIT_2B
  parameter \INIT_2C
  parameter \INIT_2D
  parameter \INIT_2E
  parameter \INIT_2F
  parameter \INIT_30
  parameter \INIT_31
  parameter \INIT_32
  parameter \INIT_33
  parameter \INIT_34
  parameter \INIT_35
  parameter \INIT_36
  parameter \INIT_37
  parameter \INIT_38
  parameter \INIT_39
  parameter \INIT_3A
  parameter \INIT_3B
  parameter \INIT_3C
  parameter \INIT_3D
  parameter \INIT_3E
  parameter \INIT_3F
  parameter \INIT_40
  parameter \INIT_41
  parameter \INIT_42
  parameter \INIT_43
  parameter \INIT_44
  parameter \INIT_45
  parameter \INIT_46
  parameter \INIT_47
  parameter \INIT_48
  parameter \INIT_49
  parameter \INIT_4A
  parameter \INIT_4B
  parameter \INIT_4C
  parameter \INIT_4D
  parameter \INIT_4E
  parameter \INIT_4F
  parameter \INIT_50
  parameter \INIT_51
  parameter \INIT_52
  parameter \INIT_53
  parameter \INIT_54
  parameter \INIT_55
  parameter \INIT_56
  parameter \INIT_57
  parameter \INIT_58
  parameter \INIT_59
  parameter \INIT_5A
  parameter \INIT_5B
  parameter \INIT_5C
  parameter \INIT_5D
  parameter \INIT_5E
  parameter \INIT_5F
  parameter \INIT_60
  parameter \INIT_61
  parameter \INIT_62
  parameter \INIT_63
  parameter \INIT_64
  parameter \INIT_65
  parameter \INIT_66
  parameter \INIT_67
  parameter \INIT_68
  parameter \INIT_69
  parameter \INIT_6A
  parameter \INIT_6B
  parameter \INIT_6C
  parameter \INIT_6D
  parameter \INIT_6E
  parameter \INIT_6F
  parameter \INIT_70
  parameter \INIT_71
  parameter \INIT_72
  parameter \INIT_73
  parameter \INIT_74
  parameter \INIT_75
  parameter \INIT_76
  parameter \INIT_77
  parameter \INIT_78
  parameter \INIT_79
  parameter \INIT_7A
  parameter \INIT_7B
  parameter \INIT_7C
  parameter \INIT_7D
  parameter \INIT_7E
  parameter \INIT_7F
  parameter \IS_CLKARDCLK_INVERTED
  parameter \IS_CLKBWRCLK_INVERTED
  parameter \IS_ENARDEN_INVERTED
  parameter \IS_ENBWREN_INVERTED
  parameter \IS_RSTRAMARSTRAM_INVERTED
  parameter \IS_RSTRAMB_INVERTED
  parameter \IS_RSTREGARSTREG_INVERTED
  parameter \IS_RSTREGB_INVERTED
  parameter \RAM_MODE
  parameter \READ_WIDTH_A
  parameter \READ_WIDTH_B
  parameter \SIM_DEVICE
  parameter \WRITE_MODE_A
  parameter \WRITE_MODE_B
  parameter \WRITE_WIDTH_A
  parameter \WRITE_WIDTH_B
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/brams_bb.v:137"
  wire width 16 input 11 \ADDRARDADDR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/brams_bb.v:138"
  wire width 16 input 12 \ADDRBWRADDR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/brams_bb.v:126"
  wire input 1 \CLKARDCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/brams_bb.v:127"
  wire input 2 \CLKBWRCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/brams_bb.v:139"
  wire width 32 input 13 \DIADI
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/brams_bb.v:140"
  wire width 32 input 14 \DIBDI
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/brams_bb.v:141"
  wire width 4 input 15 \DIPADIP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/brams_bb.v:142"
  wire width 4 input 16 \DIPBDIP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/brams_bb.v:146"
  wire width 32 output 19 \DOADO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/brams_bb.v:147"
  wire width 32 output 20 \DOBDO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/brams_bb.v:148"
  wire width 4 output 21 \DOPADOP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/brams_bb.v:149"
  wire width 4 output 22 \DOPBDOP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/brams_bb.v:128"
  wire input 3 \ENARDEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/brams_bb.v:129"
  wire input 4 \ENBWREN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/brams_bb.v:130"
  wire input 5 \REGCEAREGCE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/brams_bb.v:131"
  wire input 6 \REGCEB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/brams_bb.v:132"
  wire input 7 \RSTRAMARSTRAM
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/brams_bb.v:133"
  wire input 8 \RSTRAMB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/brams_bb.v:134"
  wire input 9 \RSTREGARSTREG
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/brams_bb.v:135"
  wire input 10 \RSTREGB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/brams_bb.v:143"
  wire width 4 input 17 \WEA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/brams_bb.v:144"
  wire width 8 input 18 \WEBWE
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3803"
module \ROM128X1
  parameter \INIT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3806"
  wire input 2 \A0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3806"
  wire input 3 \A1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3806"
  wire input 4 \A2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3806"
  wire input 5 \A3
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3806"
  wire input 6 \A4
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3806"
  wire input 7 \A5
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3806"
  wire input 8 \A6
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3805"
  wire output 1 \O
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3809"
module \ROM256X1
  parameter \INIT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3812"
  wire input 2 \A0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3812"
  wire input 3 \A1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3812"
  wire input 4 \A2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3812"
  wire input 5 \A3
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3812"
  wire input 6 \A4
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3812"
  wire input 7 \A5
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3812"
  wire input 8 \A6
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3812"
  wire input 9 \A7
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3811"
  wire output 1 \O
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3815"
module \ROM32X1
  parameter \INIT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3818"
  wire input 2 \A0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3818"
  wire input 3 \A1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3818"
  wire input 4 \A2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3818"
  wire input 5 \A3
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3818"
  wire input 6 \A4
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3817"
  wire output 1 \O
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3821"
module \ROM64X1
  parameter \INIT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3824"
  wire input 2 \A0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3824"
  wire input 3 \A1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3824"
  wire input 4 \A2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3824"
  wire input 5 \A3
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3824"
  wire input 6 \A4
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3824"
  wire input 7 \A5
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3823"
  wire output 1 \O
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3827"
module \SRL16E
  parameter \INIT
  parameter \IS_CLK_INVERTED
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3831"
  wire input 2 \A0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3831"
  wire input 3 \A1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3831"
  wire input 4 \A2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3831"
  wire input 5 \A3
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3831"
  wire input 6 \CE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3831"
  wire input 7 \CLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3831"
  wire input 8 \D
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3830"
  wire output 1 \Q
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3834"
module \SRLC32E
  parameter \INIT
  parameter \IS_CLK_INVERTED
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3839"
  wire width 5 input 3 \A
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3840"
  wire input 4 \CE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3840"
  wire input 5 \CLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3840"
  wire input 6 \D
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3837"
  wire output 1 \Q
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3838"
  wire output 2 \Q31
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3843"
module \STARTUPE2
  parameter \PROG_USR
  parameter \SIM_CCLK_FREQ
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3846"
  wire output 1 \CFGCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3847"
  wire output 2 \CFGMCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3850"
  wire input 5 \CLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3848"
  wire output 3 \EOS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3851"
  wire input 6 \GSR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3852"
  wire input 7 \GTS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3853"
  wire input 8 \KEYCLEARB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3854"
  wire input 9 \PACK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3849"
  wire output 4 \PREQ
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3855"
  wire input 10 \USRCCLKO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3856"
  wire input 11 \USRCCLKTS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3857"
  wire input 12 \USRDONEO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3858"
  wire input 13 \USRDONETS
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3861"
module \USR_ACCESSE2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3862"
  wire output 1 \CFGCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3864"
  wire width 32 output 3 \DATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3863"
  wire output 2 \DATAVALID
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:6"
module \VCC
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:6"
  wire output 1 \P
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3867"
module \XADC
  parameter \INIT_40
  parameter \INIT_41
  parameter \INIT_42
  parameter \INIT_43
  parameter \INIT_44
  parameter \INIT_45
  parameter \INIT_46
  parameter \INIT_47
  parameter \INIT_48
  parameter \INIT_49
  parameter \INIT_4A
  parameter \INIT_4B
  parameter \INIT_4C
  parameter \INIT_4D
  parameter \INIT_4E
  parameter \INIT_4F
  parameter \INIT_50
  parameter \INIT_51
  parameter \INIT_52
  parameter \INIT_53
  parameter \INIT_54
  parameter \INIT_55
  parameter \INIT_56
  parameter \INIT_57
  parameter \INIT_58
  parameter \INIT_59
  parameter \INIT_5A
  parameter \INIT_5B
  parameter \INIT_5C
  parameter \INIT_5D
  parameter \INIT_5E
  parameter \INIT_5F
  parameter \IS_CONVSTCLK_INVERTED
  parameter \IS_DCLK_INVERTED
  parameter \SIM_DEVICE
  parameter \SIM_MONITOR_FILE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3877"
  wire width 8 output 10 \ALM
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3868"
  wire output 1 \BUSY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3878"
  wire width 5 output 11 \CHANNEL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3880"
  wire input 13 \CONVST
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3881"
  wire input 14 \CONVSTCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3891"
  wire width 7 input 24 \DADDR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3882"
  wire input 15 \DCLK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3883"
  wire input 16 \DEN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3888"
  wire width 16 input 21 \DI
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3876"
  wire width 16 output 9 \DO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3869"
  wire output 2 \DRDY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3884"
  wire input 17 \DWE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3870"
  wire output 3 \EOC
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3871"
  wire output 4 \EOS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3872"
  wire output 5 \JTAGBUSY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3873"
  wire output 6 \JTAGLOCKED
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3874"
  wire output 7 \JTAGMODIFIED
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3879"
  wire width 5 output 12 \MUXADDR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3875"
  wire output 8 \OT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3885"
  wire input 18 \RESET
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3889"
  wire width 16 input 22 \VAUXN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3890"
  wire width 16 input 23 \VAUXP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3886"
  wire input 19 \VN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_xtra.v:3887"
  wire input 20 \VP
end
attribute \blackbox 1
attribute \cells_not_processed 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:95"
module \XORCY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:95"
  wire input 2 \CI
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:95"
  wire input 3 \LI
  attribute \src "/usr/local/google/home/keithrothman/cat_x/yosys/share/xilinx/cells_sim.v:95"
  wire output 1 \O
end
attribute \top 1
attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:3"
module \toplevel
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4549"
  wire $techmap\murax.$0\_zz_10[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4474"
  wire $techmap\murax.$0\_zz_12[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4474"
  wire $techmap\murax.$0\_zz_13[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4425"
  wire width 32 $techmap\murax.$0\_zz_18[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4506"
  wire $techmap\murax.$0\_zz_3[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4506"
  wire $techmap\murax.$0\_zz_4[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4533"
  wire $techmap\murax.$0\_zz_5[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4533"
  wire width 32 $techmap\murax.$0\_zz_6[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4533"
  wire width 32 $techmap\murax.$0\_zz_7[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4533"
  wire width 2 $techmap\murax.$0\_zz_8[1:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4545"
  wire $techmap\murax.$0\_zz_9[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4436"
  wire $techmap\murax.$0\resetCtrl_mainClkResetUnbuffered[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4498"
  wire $techmap\murax.$0\resetCtrl_mainClkReset[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4489"
  wire width 6 $techmap\murax.$0\resetCtrl_systemClkResetCounter[5:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4498"
  wire $techmap\murax.$0\resetCtrl_systemReset[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4451"
  wire $techmap\murax.$0\system_externalInterrupt[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4474"
  wire $techmap\murax.$0\system_mainBusDecoder_logic_masterPipelined_cmd_ready[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4506"
  wire $techmap\murax.$0\system_mainBusDecoder_logic_rspNoHit[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4506"
  wire $techmap\murax.$0\system_mainBusDecoder_logic_rspPending[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4533"
  wire $techmap\murax.$0\system_mainBusDecoder_logic_rspSourceId[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4444"
  wire $techmap\murax.$0\system_timerInterrupt[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4474"
  wire $techmap\murax.$1\_zz_12[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4474"
  wire $techmap\murax.$1\_zz_13[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4425"
  wire width 32 $techmap\murax.$1\_zz_18[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4436"
  wire $techmap\murax.$1\resetCtrl_mainClkResetUnbuffered[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4167"
  wire width 6 $techmap\murax.$1\resetCtrl_systemClkResetCounter[5:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4451"
  wire $techmap\murax.$1\system_externalInterrupt[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4474"
  wire $techmap\murax.$1\system_mainBusDecoder_logic_masterPipelined_cmd_ready[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4444"
  wire $techmap\murax.$1\system_timerInterrupt[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4491"
  wire width 6 $techmap\murax.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4491$834_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4473"
  wire width 32 $techmap\murax.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4473$815_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4485"
  wire width 32 $techmap\murax.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4485$826_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4473"
  wire $techmap\murax.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4473$816_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4485"
  wire $techmap\murax.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4485$827_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4475"
  wire $techmap\murax.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4475$818_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4476"
  wire $techmap\murax.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4476$819_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4477"
  wire $techmap\murax.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4477$820_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4477"
  wire $techmap\murax.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4477$821_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4478"
  wire $techmap\murax.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4478$825_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4487"
  wire $techmap\murax.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4487$831_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4523"
  wire $techmap\murax.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4523$839_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4523"
  wire $techmap\murax.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4523$841_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4540"
  wire $techmap\murax.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4540$843_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4553"
  wire $techmap\murax.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4553$846_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4196"
  wire $techmap\murax.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4196$809_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4478"
  wire $techmap\murax.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4478$824_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4486"
  wire $techmap\murax.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4486$829_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4515"
  wire $techmap\murax.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4515$837_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4517"
  wire $techmap\murax.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4517$838_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4523"
  wire $techmap\murax.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4523$840_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4477"
  wire $techmap\murax.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4477$822_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4477"
  wire $techmap\murax.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4477$823_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4486"
  wire $techmap\murax.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4486$828_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4487"
  wire $techmap\murax.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4487$830_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4487"
  wire $techmap\murax.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4487$832_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4197"
  wire $techmap\murax.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4197$810_Y
  wire width 32 $techmap\murax.$procmux$1019_Y
  wire $techmap\murax.$procmux$1020_CMP
  wire $techmap\murax.$procmux$1022_Y
  wire $techmap\murax.$procmux$1023_CMP
  wire $techmap\murax.$procmux$1025_Y
  wire $techmap\murax.$procmux$1026_CMP
  wire $techmap\murax.$procmux$1028_Y
  wire $techmap\murax.$procmux$1029_CMP
  wire $techmap\murax.$procmux$1031_Y
  wire $techmap\murax.$procmux$1032_CMP
  wire $techmap\murax.$procmux$1034_Y
  wire $techmap\murax.$procmux$1035_CMP
  wire $techmap\murax.$procmux$1037_Y
  wire $techmap\murax.$procmux$1038_CMP
  wire width 6 $techmap\murax.$procmux$1039_Y
  wire $techmap\murax.$procmux$1040_CMP
  wire width 6 $techmap\murax.$procmux$1041_Y
  wire $techmap\murax.$procmux$1042_CMP
  wire $techmap\murax.$procmux$1043_Y
  wire $techmap\murax.$procmux$1044_CMP
  wire $techmap\murax.$procmux$1045_Y
  wire $techmap\murax.$procmux$1046_CMP
  wire $techmap\murax.$procmux$1047_Y
  wire $techmap\murax.$procmux$1048_CMP
  wire $techmap\murax.$procmux$1049_Y
  wire $techmap\murax.$procmux$1050_CMP
  wire $techmap\murax.$procmux$1052_Y
  wire $techmap\murax.$procmux$1053_CMP
  wire $techmap\murax.$procmux$1055_Y
  wire $techmap\murax.$procmux$1056_CMP
  wire $techmap\murax.$procmux$1057_Y
  wire $techmap\murax.$procmux$1058_CMP
  wire width 2 $techmap\murax.$procmux$1059_Y
  wire $techmap\murax.$procmux$1060_CMP
  wire width 32 $techmap\murax.$procmux$1061_Y
  wire $techmap\murax.$procmux$1062_CMP
  wire width 32 $techmap\murax.$procmux$1063_Y
  wire $techmap\murax.$procmux$1064_CMP
  wire $techmap\murax.$procmux$1065_Y
  wire $techmap\murax.$procmux$1066_CMP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4389|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4008"
  wire $techmap\murax.apb3Router_1.$0\_zz_3[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4389|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4008"
  wire width 32 $techmap\murax.apb3Router_1.$0\_zz_4[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4389|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4008"
  wire $techmap\murax.apb3Router_1.$0\_zz_5[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4389|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4048"
  wire width 2 $techmap\murax.apb3Router_1.$0\selIndex[1:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4389|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4008"
  wire $techmap\murax.apb3Router_1.$1\_zz_3[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4389|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4008"
  wire width 32 $techmap\murax.apb3Router_1.$1\_zz_4[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4389|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4008"
  wire $techmap\murax.apb3Router_1.$1\_zz_5[0:0]
  wire $techmap\murax.apb3Router_1.$procmux$862_Y
  wire $techmap\murax.apb3Router_1.$procmux$863_CMP
  wire $techmap\murax.apb3Router_1.$procmux$864_CMP
  wire width 32 $techmap\murax.apb3Router_1.$procmux$866_Y
  wire $techmap\murax.apb3Router_1.$procmux$867_CMP
  wire $techmap\murax.apb3Router_1.$procmux$868_CMP
  wire $techmap\murax.apb3Router_1.$procmux$870_Y
  wire $techmap\murax.apb3Router_1.$procmux$871_CMP
  wire $techmap\murax.apb3Router_1.$procmux$872_CMP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4200|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:806"
  wire $techmap\murax.bufferCC_3.$0\buffers_0[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4200|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:806"
  wire $techmap\murax.bufferCC_3.$0\buffers_1[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4371|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3956"
  wire width 3 $techmap\murax.io_apb_decoder.$0\io_output_PSEL[2:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4371|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3957"
  wire width 20 $techmap\murax.io_apb_decoder.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3957$798_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4371|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3958"
  wire width 20 $techmap\murax.io_apb_decoder.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3958$801_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4371|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3959"
  wire width 20 $techmap\murax.io_apb_decoder.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3959$804_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4371|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3957"
  wire $techmap\murax.io_apb_decoder.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3957$799_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4371|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3958"
  wire $techmap\murax.io_apb_decoder.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3958$802_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4371|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3959"
  wire $techmap\murax.io_apb_decoder.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3959$805_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4371|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3957"
  wire $techmap\murax.io_apb_decoder.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3957$800_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4371|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3958"
  wire $techmap\murax.io_apb_decoder.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3958$803_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4371|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3959"
  wire $techmap\murax.io_apb_decoder.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3959$806_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3006"
  wire width 4 $techmap\murax.jtagBridge_1.$0\_zz_1[3:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3060"
  wire $techmap\murax.jtagBridge_1.$0\io_jtag_tdo[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3118"
  wire width 32 $techmap\murax.jtagBridge_1.$0\jtag_idcodeArea_shifter[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3118"
  wire width 34 $techmap\murax.jtagBridge_1.$0\jtag_readArea_shifter[33:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3118"
  wire $techmap\murax.jtagBridge_1.$0\jtag_tap_bypass[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3118"
  wire width 4 $techmap\murax.jtagBridge_1.$0\jtag_tap_fsm_state[3:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3118"
  wire width 4 $techmap\murax.jtagBridge_1.$0\jtag_tap_instructionShift[3:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3118"
  wire width 4 $techmap\murax.jtagBridge_1.$0\jtag_tap_instruction[3:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3091"
  wire $techmap\murax.jtagBridge_1.$0\jtag_writeArea_source_valid[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3107"
  wire width 32 $techmap\murax.jtagBridge_1.$0\system_rsp_payload_data[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3107"
  wire $techmap\murax.jtagBridge_1.$0\system_rsp_payload_error[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3107"
  wire $techmap\murax.jtagBridge_1.$0\system_rsp_valid[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3006"
  wire width 4 $techmap\murax.jtagBridge_1.$1\_zz_1[3:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3060"
  wire $techmap\murax.jtagBridge_1.$1\io_jtag_tdo[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2968"
  wire width 4 $techmap\murax.jtagBridge_1.$1\jtag_tap_fsm_state[3:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3091"
  wire $techmap\murax.jtagBridge_1.$1\jtag_writeArea_source_valid[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3060"
  wire $techmap\murax.jtagBridge_1.$2\io_jtag_tdo[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3091"
  wire $techmap\murax.jtagBridge_1.$2\jtag_writeArea_source_valid[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3060"
  wire $techmap\murax.jtagBridge_1.$3\io_jtag_tdo[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3060"
  wire $techmap\murax.jtagBridge_1.$4\io_jtag_tdo[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3060"
  wire $techmap\murax.jtagBridge_1.$5\io_jtag_tdo[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2986"
  wire $techmap\murax.jtagBridge_1.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2986$629_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2987"
  wire $techmap\murax.jtagBridge_1.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2987$630_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3088"
  wire $techmap\murax.jtagBridge_1.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3088$649_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3090"
  wire $techmap\murax.jtagBridge_1.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3090$650_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3094"
  wire $techmap\murax.jtagBridge_1.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3094$652_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3106"
  wire $techmap\murax.jtagBridge_1.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3106$653_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3141"
  wire $techmap\murax.jtagBridge_1.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3141$659_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3146"
  wire $techmap\murax.jtagBridge_1.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3146$660_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3111"
  wire $techmap\murax.jtagBridge_1.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3111$655_Y
  wire width 32 $techmap\murax.jtagBridge_1.$procmux$1000_Y
  wire $techmap\murax.jtagBridge_1.$procmux$1001_CMP
  wire width 32 $techmap\murax.jtagBridge_1.$procmux$1002_Y
  wire $techmap\murax.jtagBridge_1.$procmux$1003_CMP
  wire width 32 $techmap\murax.jtagBridge_1.$procmux$1004_Y
  wire $techmap\murax.jtagBridge_1.$procmux$1005_CMP
  wire $techmap\murax.jtagBridge_1.$procmux$1006_Y
  wire $techmap\murax.jtagBridge_1.$procmux$1007_CMP
  wire width 4 $techmap\murax.jtagBridge_1.$procmux$1010_Y
  wire $techmap\murax.jtagBridge_1.$procmux$1011_CMP
  wire $techmap\murax.jtagBridge_1.$procmux$1012_CMP
  wire width 4 $techmap\murax.jtagBridge_1.$procmux$1014_Y
  wire $techmap\murax.jtagBridge_1.$procmux$1015_CMP
  wire width 4 $techmap\murax.jtagBridge_1.$procmux$1016_Y
  wire $techmap\murax.jtagBridge_1.$procmux$1017_CMP
  wire width 4 $techmap\murax.jtagBridge_1.$procmux$938_Y
  wire $techmap\murax.jtagBridge_1.$procmux$939_CMP
  wire $techmap\murax.jtagBridge_1.$procmux$940_CMP
  wire $techmap\murax.jtagBridge_1.$procmux$941_CMP
  wire $techmap\murax.jtagBridge_1.$procmux$942_CMP
  wire $techmap\murax.jtagBridge_1.$procmux$943_CMP
  wire $techmap\murax.jtagBridge_1.$procmux$944_CMP
  wire $techmap\murax.jtagBridge_1.$procmux$945_CMP
  wire $techmap\murax.jtagBridge_1.$procmux$946_CMP
  wire $techmap\murax.jtagBridge_1.$procmux$947_CMP
  wire $techmap\murax.jtagBridge_1.$procmux$948_CMP
  wire $techmap\murax.jtagBridge_1.$procmux$949_CMP
  wire $techmap\murax.jtagBridge_1.$procmux$950_CMP
  wire $techmap\murax.jtagBridge_1.$procmux$951_CMP
  wire $techmap\murax.jtagBridge_1.$procmux$952_CMP
  wire $techmap\murax.jtagBridge_1.$procmux$953_CMP
  wire $techmap\murax.jtagBridge_1.$procmux$956_Y
  wire $techmap\murax.jtagBridge_1.$procmux$957_CMP
  wire $techmap\murax.jtagBridge_1.$procmux$958_Y
  wire $techmap\murax.jtagBridge_1.$procmux$959_CMP
  wire $techmap\murax.jtagBridge_1.$procmux$961_Y
  wire $techmap\murax.jtagBridge_1.$procmux$962_CMP
  wire $techmap\murax.jtagBridge_1.$procmux$965_Y
  wire $techmap\murax.jtagBridge_1.$procmux$966_CMP
  wire $techmap\murax.jtagBridge_1.$procmux$967_Y
  wire $techmap\murax.jtagBridge_1.$procmux$968_CMP
  wire $techmap\murax.jtagBridge_1.$procmux$970_Y
  wire $techmap\murax.jtagBridge_1.$procmux$971_CMP
  wire $techmap\murax.jtagBridge_1.$procmux$975_Y
  wire $techmap\murax.jtagBridge_1.$procmux$976_CMP
  wire $techmap\murax.jtagBridge_1.$procmux$979_Y
  wire $techmap\murax.jtagBridge_1.$procmux$980_CMP
  wire $techmap\murax.jtagBridge_1.$procmux$981_Y
  wire $techmap\murax.jtagBridge_1.$procmux$982_CMP
  wire $techmap\murax.jtagBridge_1.$procmux$984_Y
  wire $techmap\murax.jtagBridge_1.$procmux$985_CMP
  wire width 32 $techmap\murax.jtagBridge_1.$procmux$986_Y
  wire $techmap\murax.jtagBridge_1.$procmux$987_CMP
  wire $techmap\murax.jtagBridge_1.$procmux$988_Y
  wire $techmap\murax.jtagBridge_1.$procmux$989_CMP
  wire $techmap\murax.jtagBridge_1.$procmux$990_Y
  wire $techmap\murax.jtagBridge_1.$procmux$991_CMP
  wire $techmap\murax.jtagBridge_1.$procmux$992_Y
  wire $techmap\murax.jtagBridge_1.$procmux$993_CMP
  wire width 34 $techmap\murax.jtagBridge_1.$procmux$994_Y
  wire $techmap\murax.jtagBridge_1.$procmux$995_CMP
  wire width 34 $techmap\murax.jtagBridge_1.$procmux$996_Y
  wire $techmap\murax.jtagBridge_1.$procmux$997_CMP
  wire width 34 $techmap\murax.jtagBridge_1.$procmux$998_Y
  wire $techmap\murax.jtagBridge_1.$procmux$999_CMP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3125"
  wire width 5 $techmap\murax.jtagBridge_1.$sshr$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3125$657_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3138"
  wire width 33 $techmap\murax.jtagBridge_1.$sshr$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3138$658_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3150"
  wire width 35 $techmap\murax.jtagBridge_1.$sshr$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3150$661_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3009"
  wire width 4 $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3009$632_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3012"
  wire width 4 $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3012$633_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3015"
  wire width 4 $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3015$634_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3018"
  wire width 4 $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3018$635_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3021"
  wire width 4 $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3021$636_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3024"
  wire width 4 $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3024$637_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3027"
  wire width 4 $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3027$638_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3030"
  wire width 4 $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3030$639_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3033"
  wire width 4 $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3033$640_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3036"
  wire width 4 $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3036$641_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3039"
  wire width 4 $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3039$642_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3042"
  wire width 4 $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3042$643_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3045"
  wire width 4 $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3045$644_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3048"
  wire width 4 $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3048$645_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3051"
  wire width 4 $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3051$646_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3054"
  wire width 4 $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3054$647_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2991|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:504"
  wire $techmap\murax.jtagBridge_1.flowCCByToggle_1.$0\_zz_1[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2991|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:498"
  wire $techmap\murax.jtagBridge_1.flowCCByToggle_1.$0\_zz_2[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2991|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:498"
  wire $techmap\murax.jtagBridge_1.flowCCByToggle_1.$0\_zz_3[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2991|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:490"
  wire $techmap\murax.jtagBridge_1.flowCCByToggle_1.$0\inputArea_data_fragment[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2991|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:490"
  wire $techmap\murax.jtagBridge_1.flowCCByToggle_1.$0\inputArea_data_last[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2991|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:490"
  wire $techmap\murax.jtagBridge_1.flowCCByToggle_1.$0\inputArea_target[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2991|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:498"
  wire $techmap\murax.jtagBridge_1.flowCCByToggle_1.$0\outputArea_hit[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2991|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:466"
  wire $techmap\murax.jtagBridge_1.flowCCByToggle_1.$1\inputArea_target[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2991|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:492"
  wire $techmap\murax.jtagBridge_1.flowCCByToggle_1.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:492$51_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2991|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:484"
  wire $techmap\murax.jtagBridge_1.flowCCByToggle_1.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:484$49_Y
  wire $techmap\murax.jtagBridge_1.flowCCByToggle_1.$procmux$931_Y
  wire $techmap\murax.jtagBridge_1.flowCCByToggle_1.$procmux$932_CMP
  wire $techmap\murax.jtagBridge_1.flowCCByToggle_1.$procmux$933_Y
  wire $techmap\murax.jtagBridge_1.flowCCByToggle_1.$procmux$934_CMP
  wire $techmap\murax.jtagBridge_1.flowCCByToggle_1.$procmux$935_Y
  wire $techmap\murax.jtagBridge_1.flowCCByToggle_1.$procmux$936_CMP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2991|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:477|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:115"
  wire $techmap\murax.jtagBridge_1.flowCCByToggle_1.bufferCC_3.$0\buffers_0[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2991|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:477|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:115"
  wire $techmap\murax.jtagBridge_1.flowCCByToggle_1.bufferCC_3.$0\buffers_1[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3200"
  wire width 3 $techmap\murax.systemDebugger_1.$0\dispatcher_counter[2:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3200"
  wire $techmap\murax.systemDebugger_1.$0\dispatcher_dataLoaded[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3226"
  wire width 67 $techmap\murax.systemDebugger_1.$0\dispatcher_dataShifter[66:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3200"
  wire $techmap\murax.systemDebugger_1.$0\dispatcher_headerLoaded[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3226"
  wire width 8 $techmap\murax.systemDebugger_1.$0\dispatcher_headerShifter[7:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3208"
  wire width 3 $techmap\murax.systemDebugger_1.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3208$668_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3187"
  wire $techmap\murax.systemDebugger_1.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3187$663_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3196"
  wire $techmap\murax.systemDebugger_1.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3196$665_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3209"
  wire $techmap\murax.systemDebugger_1.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3209$669_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3196"
  wire $techmap\murax.systemDebugger_1.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3196$666_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3219"
  wire $techmap\murax.systemDebugger_1.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3219$670_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3190"
  wire $techmap\murax.systemDebugger_1.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3190$664_Y
  wire width 3 $techmap\murax.systemDebugger_1.$procmux$1375_Y
  wire $techmap\murax.systemDebugger_1.$procmux$1376_CMP
  wire width 3 $techmap\murax.systemDebugger_1.$procmux$1377_Y
  wire $techmap\murax.systemDebugger_1.$procmux$1378_CMP
  wire width 3 $techmap\murax.systemDebugger_1.$procmux$1379_Y
  wire $techmap\murax.systemDebugger_1.$procmux$1380_CMP
  wire $techmap\murax.systemDebugger_1.$procmux$1381_Y
  wire $techmap\murax.systemDebugger_1.$procmux$1382_CMP
  wire $techmap\murax.systemDebugger_1.$procmux$1383_Y
  wire $techmap\murax.systemDebugger_1.$procmux$1384_CMP
  wire $techmap\murax.systemDebugger_1.$procmux$1385_Y
  wire $techmap\murax.systemDebugger_1.$procmux$1386_CMP
  wire $techmap\murax.systemDebugger_1.$procmux$1387_Y
  wire $techmap\murax.systemDebugger_1.$procmux$1388_CMP
  wire $techmap\murax.systemDebugger_1.$procmux$1389_Y
  wire $techmap\murax.systemDebugger_1.$procmux$1390_CMP
  wire $techmap\murax.systemDebugger_1.$procmux$1391_Y
  wire $techmap\murax.systemDebugger_1.$procmux$1392_CMP
  wire $techmap\murax.systemDebugger_1.$procmux$1393_Y
  wire $techmap\murax.systemDebugger_1.$procmux$1394_CMP
  wire $techmap\murax.systemDebugger_1.$procmux$1395_Y
  wire $techmap\murax.systemDebugger_1.$procmux$1396_CMP
  wire width 8 $techmap\murax.systemDebugger_1.$procmux$1398_Y
  wire $techmap\murax.systemDebugger_1.$procmux$1399_CMP
  wire width 8 $techmap\murax.systemDebugger_1.$procmux$1400_Y
  wire $techmap\murax.systemDebugger_1.$procmux$1401_CMP
  wire width 67 $techmap\murax.systemDebugger_1.$procmux$1403_Y
  wire $techmap\murax.systemDebugger_1.$procmux$1404_CMP
  wire width 67 $techmap\murax.systemDebugger_1.$procmux$1405_Y
  wire $techmap\murax.systemDebugger_1.$procmux$1406_CMP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3229"
  wire width 9 $techmap\murax.systemDebugger_1.$sshr$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3229$672_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3231"
  wire width 68 $techmap\murax.systemDebugger_1.$sshr$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3231$673_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3377"
  wire $techmap\murax.system_apbBridge.$0\_zz_2[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3377"
  wire $techmap\murax.system_apbBridge.$0\_zz_3[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3402"
  wire $techmap\murax.system_apbBridge.$0\_zz_4[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3402"
  wire width 32 $techmap\murax.system_apbBridge.$0\_zz_5[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3402"
  wire width 32 $techmap\murax.system_apbBridge.$0\_zz_6[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3402"
  wire width 4 $techmap\murax.system_apbBridge.$0\_zz_7[3:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3377"
  wire $techmap\murax.system_apbBridge.$0\_zz_8[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3402"
  wire width 32 $techmap\murax.system_apbBridge.$0\_zz_9[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3360"
  wire $techmap\murax.system_apbBridge.$0\simpleBusStage_cmd_ready[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3360"
  wire $techmap\murax.system_apbBridge.$0\simpleBusStage_rsp_valid[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3377"
  wire $techmap\murax.system_apbBridge.$0\state[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3360"
  wire $techmap\murax.system_apbBridge.$1\simpleBusStage_cmd_ready[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3360"
  wire $techmap\murax.system_apbBridge.$1\simpleBusStage_rsp_valid[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3360"
  wire $techmap\murax.system_apbBridge.$2\simpleBusStage_cmd_ready[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3360"
  wire $techmap\murax.system_apbBridge.$2\simpleBusStage_rsp_valid[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3349"
  wire $techmap\murax.system_apbBridge.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3349$718_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3350"
  wire $techmap\murax.system_apbBridge.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3350$719_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3363"
  wire $techmap\murax.system_apbBridge.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3363$721_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3365"
  wire $techmap\murax.system_apbBridge.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3365$722_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3386"
  wire $techmap\murax.system_apbBridge.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3386$724_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3388"
  wire $techmap\murax.system_apbBridge.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3388$725_Y
  wire $techmap\murax.system_apbBridge.$procmux$1305_Y
  wire $techmap\murax.system_apbBridge.$procmux$1306_CMP
  wire $techmap\murax.system_apbBridge.$procmux$1307_Y
  wire $techmap\murax.system_apbBridge.$procmux$1308_CMP
  wire $techmap\murax.system_apbBridge.$procmux$1311_Y
  wire $techmap\murax.system_apbBridge.$procmux$1312_CMP
  wire $techmap\murax.system_apbBridge.$procmux$1313_Y
  wire $techmap\murax.system_apbBridge.$procmux$1314_CMP
  wire $techmap\murax.system_apbBridge.$procmux$1316_Y
  wire $techmap\murax.system_apbBridge.$procmux$1317_CMP
  wire $techmap\murax.system_apbBridge.$procmux$1319_Y
  wire $techmap\murax.system_apbBridge.$procmux$1320_CMP
  wire $techmap\murax.system_apbBridge.$procmux$1321_Y
  wire $techmap\murax.system_apbBridge.$procmux$1322_CMP
  wire $techmap\murax.system_apbBridge.$procmux$1324_Y
  wire $techmap\murax.system_apbBridge.$procmux$1325_CMP
  wire $techmap\murax.system_apbBridge.$procmux$1327_Y
  wire $techmap\murax.system_apbBridge.$procmux$1328_CMP
  wire $techmap\murax.system_apbBridge.$procmux$1330_Y
  wire $techmap\murax.system_apbBridge.$procmux$1331_CMP
  wire width 4 $techmap\murax.system_apbBridge.$procmux$1332_Y
  wire $techmap\murax.system_apbBridge.$procmux$1333_CMP
  wire width 32 $techmap\murax.system_apbBridge.$procmux$1334_Y
  wire $techmap\murax.system_apbBridge.$procmux$1335_CMP
  wire width 32 $techmap\murax.system_apbBridge.$procmux$1336_Y
  wire $techmap\murax.system_apbBridge.$procmux$1337_CMP
  wire $techmap\murax.system_apbBridge.$procmux$1338_Y
  wire $techmap\murax.system_apbBridge.$procmux$1339_CMP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1604"
  wire width 5 $techmap\murax.system_cpu.$0$memwr$\RegFilePlugin_regFile$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1606$132_ADDR[4:0]$233
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1604"
  wire width 32 $techmap\murax.system_cpu.$0$memwr$\RegFilePlugin_regFile$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1606$132_DATA[31:0]$234
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1604"
  wire width 32 $techmap\murax.system_cpu.$0$memwr$\RegFilePlugin_regFile$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1606$132_EN[31:0]$235
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  wire width 32 $techmap\murax.system_cpu.$0\CsrPlugin_mbadaddr[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  wire width 4 $techmap\murax.system_cpu.$0\CsrPlugin_mcause_exceptionCode[3:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  wire $techmap\murax.system_cpu.$0\CsrPlugin_mcause_interrupt[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  wire width 64 $techmap\murax.system_cpu.$0\CsrPlugin_mcycle[63:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  wire width 32 $techmap\murax.system_cpu.$0\CsrPlugin_mepc[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2554"
  wire $techmap\murax.system_cpu.$0\CsrPlugin_mie_MEIE[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2554"
  wire $techmap\murax.system_cpu.$0\CsrPlugin_mie_MSIE[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2554"
  wire $techmap\murax.system_cpu.$0\CsrPlugin_mie_MTIE[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  wire width 64 $techmap\murax.system_cpu.$0\CsrPlugin_minstret[63:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2554"
  wire $techmap\murax.system_cpu.$0\CsrPlugin_mip_MEIP[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2554"
  wire $techmap\murax.system_cpu.$0\CsrPlugin_mip_MSIP[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2554"
  wire $techmap\murax.system_cpu.$0\CsrPlugin_mip_MTIP[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2554"
  wire $techmap\murax.system_cpu.$0\CsrPlugin_mstatus_MIE[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2554"
  wire $techmap\murax.system_cpu.$0\CsrPlugin_mstatus_MPIE[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2554"
  wire width 2 $techmap\murax.system_cpu.$0\CsrPlugin_mstatus_MPP[1:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2069"
  wire $techmap\murax.system_cpu.$0\CsrPlugin_pipelineLiberator_enable[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2873"
  wire width 32 $techmap\murax.system_cpu.$0\DebugPlugin_busReadDataReg[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2873"
  wire $techmap\murax.system_cpu.$0\DebugPlugin_firstCycle[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2888"
  wire $techmap\murax.system_cpu.$0\DebugPlugin_haltIt[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2888"
  wire $techmap\murax.system_cpu.$0\DebugPlugin_haltedByBreak[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1825"
  wire $techmap\murax.system_cpu.$0\DebugPlugin_insertDecodeInstruction[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2873"
  wire $techmap\murax.system_cpu.$0\DebugPlugin_isPipActive[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2888"
  wire $techmap\murax.system_cpu.$0\DebugPlugin_resetIt[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2873"
  wire $techmap\murax.system_cpu.$0\DebugPlugin_secondCycle[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2888"
  wire $techmap\murax.system_cpu.$0\DebugPlugin_stepIt[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2554"
  wire $techmap\murax.system_cpu.$0\_zz_107[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2214"
  wire width 32 $techmap\murax.system_cpu.$0\_zz_108[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2229"
  wire width 32 $techmap\murax.system_cpu.$0\_zz_109[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2245"
  wire width 20 $techmap\murax.system_cpu.$0\_zz_111[19:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2269"
  wire width 20 $techmap\murax.system_cpu.$0\_zz_113[19:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2292"
  wire width 32 $techmap\murax.system_cpu.$0\_zz_114[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2319"
  wire width 32 $techmap\murax.system_cpu.$0\_zz_115[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2330"
  wire $techmap\murax.system_cpu.$0\_zz_116[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2330"
  wire $techmap\murax.system_cpu.$0\_zz_117[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2554"
  wire $techmap\murax.system_cpu.$0\_zz_118[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  wire width 5 $techmap\murax.system_cpu.$0\_zz_119[4:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2381"
  wire $techmap\murax.system_cpu.$0\_zz_121[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2393"
  wire $techmap\murax.system_cpu.$0\_zz_122[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2413"
  wire width 11 $techmap\murax.system_cpu.$0\_zz_124[10:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2428"
  wire width 20 $techmap\murax.system_cpu.$0\_zz_126[19:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2452"
  wire width 19 $techmap\murax.system_cpu.$0\_zz_128[18:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2474"
  wire width 32 $techmap\murax.system_cpu.$0\_zz_129[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2873"
  wire $techmap\murax.system_cpu.$0\_zz_130[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2873"
  wire $techmap\murax.system_cpu.$0\_zz_131[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2873"
  wire $techmap\murax.system_cpu.$0\_zz_132[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1610"
  wire width 32 $techmap\murax.system_cpu.$0\_zz_133[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1616"
  wire width 32 $techmap\murax.system_cpu.$0\_zz_134[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1825"
  wire $techmap\murax.system_cpu.$0\_zz_138[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1622"
  wire width 32 $techmap\murax.system_cpu.$0\_zz_139[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1701"
  wire $techmap\murax.system_cpu.$0\_zz_38[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1716"
  wire width 32 $techmap\murax.system_cpu.$0\_zz_58[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1746"
  wire width 32 $techmap\murax.system_cpu.$0\_zz_62[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1940"
  wire width 32 $techmap\murax.system_cpu.$0\_zz_68[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1765"
  wire width 32 $techmap\murax.system_cpu.$0\_zz_70[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1882"
  wire $techmap\murax.system_cpu.$0\_zz_74[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1882"
  wire width 32 $techmap\murax.system_cpu.$0\_zz_75[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2554"
  wire width 2 $techmap\murax.system_cpu.$0\_zz_76[1:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1923"
  wire $techmap\murax.system_cpu.$0\_zz_77[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2554"
  wire $techmap\murax.system_cpu.$0\_zz_81[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  wire width 32 $techmap\murax.system_cpu.$0\_zz_82[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1953"
  wire width 32 $techmap\murax.system_cpu.$0\_zz_83[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1969"
  wire width 4 $techmap\murax.system_cpu.$0\_zz_84[3:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2003"
  wire width 32 $techmap\murax.system_cpu.$0\_zz_86[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2032"
  wire width 32 $techmap\murax.system_cpu.$0\_zz_88[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2081"
  wire width 32 $techmap\murax.system_cpu.$0\_zz_89[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  wire $techmap\murax.system_cpu.$0\_zz_90[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2493"
  wire width 32 $techmap\murax.system_cpu.$0\debug_bus_rsp_data[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1709"
  wire $techmap\murax.system_cpu.$0\decode_REGFILE_WRITE_VALID[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1784"
  wire $techmap\murax.system_cpu.$0\decode_arbitration_flushAll[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1825"
  wire $techmap\murax.system_cpu.$0\decode_arbitration_haltItself[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2554"
  wire $techmap\murax.system_cpu.$0\decode_arbitration_isValid[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1853"
  wire $techmap\murax.system_cpu.$0\decode_arbitration_removeIt[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  wire width 2 $techmap\murax.system_cpu.$0\decode_to_execute_ALU_BITWISE_CTRL[1:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  wire width 2 $techmap\murax.system_cpu.$0\decode_to_execute_ALU_CTRL[1:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  wire width 2 $techmap\murax.system_cpu.$0\decode_to_execute_BRANCH_CTRL[1:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  wire $techmap\murax.system_cpu.$0\decode_to_execute_BYPASSABLE_EXECUTE_STAGE[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  wire $techmap\murax.system_cpu.$0\decode_to_execute_BYPASSABLE_MEMORY_STAGE[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  wire $techmap\murax.system_cpu.$0\decode_to_execute_CSR_READ_OPCODE[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  wire $techmap\murax.system_cpu.$0\decode_to_execute_CSR_WRITE_OPCODE[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  wire width 2 $techmap\murax.system_cpu.$0\decode_to_execute_ENV_CTRL[1:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  wire width 32 $techmap\murax.system_cpu.$0\decode_to_execute_FORMAL_PC_NEXT[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  wire width 32 $techmap\murax.system_cpu.$0\decode_to_execute_INSTRUCTION[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  wire $techmap\murax.system_cpu.$0\decode_to_execute_IS_CSR[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  wire $techmap\murax.system_cpu.$0\decode_to_execute_IS_EBREAK[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  wire $techmap\murax.system_cpu.$0\decode_to_execute_MEMORY_ENABLE[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  wire width 32 $techmap\murax.system_cpu.$0\decode_to_execute_PC[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  wire $techmap\murax.system_cpu.$0\decode_to_execute_REGFILE_WRITE_VALID[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  wire width 32 $techmap\murax.system_cpu.$0\decode_to_execute_RS1[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  wire width 32 $techmap\murax.system_cpu.$0\decode_to_execute_RS2[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  wire width 2 $techmap\murax.system_cpu.$0\decode_to_execute_SHIFT_CTRL[1:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  wire width 32 $techmap\murax.system_cpu.$0\decode_to_execute_SRC1[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  wire width 32 $techmap\murax.system_cpu.$0\decode_to_execute_SRC2[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  wire $techmap\murax.system_cpu.$0\decode_to_execute_SRC_LESS_UNSIGNED[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  wire $techmap\murax.system_cpu.$0\decode_to_execute_SRC_USE_SUB_LESS[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2095"
  wire $techmap\murax.system_cpu.$0\execute_CsrPlugin_illegalAccess[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  wire $techmap\murax.system_cpu.$0\execute_CsrPlugin_readDataRegValid[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2095"
  wire width 32 $techmap\murax.system_cpu.$0\execute_CsrPlugin_readData[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2133"
  wire width 32 $techmap\murax.system_cpu.$0\execute_CsrPlugin_writeData[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2197"
  wire width 32 $techmap\murax.system_cpu.$0\execute_IntAluPlugin_bitwise[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  wire width 5 $techmap\murax.system_cpu.$0\execute_LightShifterPlugin_amplitudeReg[4:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2554"
  wire $techmap\murax.system_cpu.$0\execute_LightShifterPlugin_isActive[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1869"
  wire $techmap\murax.system_cpu.$0\execute_arbitration_flushAll[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1716"
  wire $techmap\murax.system_cpu.$0\execute_arbitration_haltItself[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2554"
  wire $techmap\murax.system_cpu.$0\execute_arbitration_isValid[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1862"
  wire $techmap\murax.system_cpu.$0\execute_arbitration_removeIt[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  wire width 32 $techmap\murax.system_cpu.$0\execute_to_memory_BRANCH_CALC[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  wire $techmap\murax.system_cpu.$0\execute_to_memory_BRANCH_DO[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  wire $techmap\murax.system_cpu.$0\execute_to_memory_BYPASSABLE_MEMORY_STAGE[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  wire width 2 $techmap\murax.system_cpu.$0\execute_to_memory_ENV_CTRL[1:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  wire width 32 $techmap\murax.system_cpu.$0\execute_to_memory_FORMAL_PC_NEXT[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  wire width 32 $techmap\murax.system_cpu.$0\execute_to_memory_INSTRUCTION[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  wire width 2 $techmap\murax.system_cpu.$0\execute_to_memory_MEMORY_ADDRESS_LOW[1:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  wire $techmap\murax.system_cpu.$0\execute_to_memory_MEMORY_ENABLE[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  wire width 32 $techmap\murax.system_cpu.$0\execute_to_memory_PC[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  wire width 32 $techmap\murax.system_cpu.$0\execute_to_memory_REGFILE_WRITE_DATA[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  wire $techmap\murax.system_cpu.$0\execute_to_memory_REGFILE_WRITE_VALID[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1808"
  wire $techmap\murax.system_cpu.$0\fetch_arbitration_haltItself[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2554"
  wire $techmap\murax.system_cpu.$0\fetch_arbitration_isValid[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1816"
  wire $techmap\murax.system_cpu.$0\fetch_arbitration_removeIt[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  wire width 32 $techmap\murax.system_cpu.$0\fetch_to_decode_FORMAL_PC_NEXT[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  wire width 32 $techmap\murax.system_cpu.$0\fetch_to_decode_INSTRUCTION[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  wire width 32 $techmap\murax.system_cpu.$0\fetch_to_decode_PC[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1882"
  wire $techmap\murax.system_cpu.$0\memory_arbitration_haltItself[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2554"
  wire $techmap\murax.system_cpu.$0\memory_arbitration_isValid[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1903"
  wire $techmap\murax.system_cpu.$0\memory_arbitration_removeIt[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2554"
  wire width 32 $techmap\murax.system_cpu.$0\memory_to_writeBack_INSTRUCTION[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  wire width 2 $techmap\murax.system_cpu.$0\memory_to_writeBack_MEMORY_ADDRESS_LOW[1:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  wire $techmap\murax.system_cpu.$0\memory_to_writeBack_MEMORY_ENABLE[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  wire width 32 $techmap\murax.system_cpu.$0\memory_to_writeBack_MEMORY_READ_DATA[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  wire width 32 $techmap\murax.system_cpu.$0\memory_to_writeBack_PC[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2554"
  wire width 32 $techmap\murax.system_cpu.$0\memory_to_writeBack_REGFILE_WRITE_DATA[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  wire $techmap\murax.system_cpu.$0\memory_to_writeBack_REGFILE_WRITE_VALID[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2554"
  wire $techmap\murax.system_cpu.$0\prefetch_IBusSimplePlugin_pendingCmd[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2554"
  wire width 32 $techmap\murax.system_cpu.$0\prefetch_PcManagerSimplePlugin_pcReg[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1784"
  wire $techmap\murax.system_cpu.$0\prefetch_arbitration_haltByOther[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1777"
  wire $techmap\murax.system_cpu.$0\prefetch_arbitration_haltItself[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2554"
  wire $techmap\murax.system_cpu.$0\prefetch_arbitration_isValid[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1799"
  wire $techmap\murax.system_cpu.$0\prefetch_arbitration_removeIt[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  wire width 32 $techmap\murax.system_cpu.$0\prefetch_to_fetch_FORMAL_PC_NEXT[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  wire width 32 $techmap\murax.system_cpu.$0\prefetch_to_fetch_PC[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2052"
  wire width 32 $techmap\murax.system_cpu.$0\writeBack_DBusSimplePlugin_rspFormated[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1985"
  wire width 32 $techmap\murax.system_cpu.$0\writeBack_DBusSimplePlugin_rspShifted[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2188"
  wire $techmap\murax.system_cpu.$0\writeBack_RegFilePlugin_regFileWrite_valid[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2554"
  wire $techmap\murax.system_cpu.$0\writeBack_arbitration_isValid[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1914"
  wire $techmap\murax.system_cpu.$0\writeBack_arbitration_removeIt[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2330"
  wire $techmap\murax.system_cpu.$10\_zz_116[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2330"
  wire $techmap\murax.system_cpu.$10\_zz_117[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2330"
  wire $techmap\murax.system_cpu.$11\_zz_116[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2330"
  wire $techmap\murax.system_cpu.$11\_zz_117[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2330"
  wire $techmap\murax.system_cpu.$12\_zz_116[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2330"
  wire $techmap\murax.system_cpu.$12\_zz_117[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1328"
  wire width 64 $techmap\murax.system_cpu.$1\CsrPlugin_mcycle[63:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1329"
  wire width 64 $techmap\murax.system_cpu.$1\CsrPlugin_minstret[63:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2069"
  wire $techmap\murax.system_cpu.$1\CsrPlugin_pipelineLiberator_enable[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1825"
  wire $techmap\murax.system_cpu.$1\DebugPlugin_insertDecodeInstruction[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2214"
  wire width 32 $techmap\murax.system_cpu.$1\_zz_108[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2229"
  wire width 32 $techmap\murax.system_cpu.$1\_zz_109[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2292"
  wire width 32 $techmap\murax.system_cpu.$1\_zz_114[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2319"
  wire width 32 $techmap\murax.system_cpu.$1\_zz_115[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2330"
  wire $techmap\murax.system_cpu.$1\_zz_116[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2330"
  wire $techmap\murax.system_cpu.$1\_zz_117[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2381"
  wire $techmap\murax.system_cpu.$1\_zz_121[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2393"
  wire $techmap\murax.system_cpu.$1\_zz_122[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2474"
  wire width 32 $techmap\murax.system_cpu.$1\_zz_129[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1825"
  wire $techmap\murax.system_cpu.$1\_zz_138[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1622"
  wire width 32 $techmap\murax.system_cpu.$1\_zz_139[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1701"
  wire $techmap\murax.system_cpu.$1\_zz_38[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1716"
  wire width 32 $techmap\murax.system_cpu.$1\_zz_58[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1746"
  wire width 32 $techmap\murax.system_cpu.$1\_zz_62[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1940"
  wire width 32 $techmap\murax.system_cpu.$1\_zz_68[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1765"
  wire width 32 $techmap\murax.system_cpu.$1\_zz_70[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1882"
  wire $techmap\murax.system_cpu.$1\_zz_74[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1882"
  wire width 32 $techmap\murax.system_cpu.$1\_zz_75[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1923"
  wire $techmap\murax.system_cpu.$1\_zz_77[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1953"
  wire width 32 $techmap\murax.system_cpu.$1\_zz_83[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1969"
  wire width 4 $techmap\murax.system_cpu.$1\_zz_84[3:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2081"
  wire width 32 $techmap\murax.system_cpu.$1\_zz_89[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2493"
  wire width 5 $techmap\murax.system_cpu.$1\debug_bus_rsp_data[4:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1709"
  wire $techmap\murax.system_cpu.$1\decode_REGFILE_WRITE_VALID[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1784"
  wire $techmap\murax.system_cpu.$1\decode_arbitration_flushAll[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1825"
  wire $techmap\murax.system_cpu.$1\decode_arbitration_haltItself[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1853"
  wire $techmap\murax.system_cpu.$1\decode_arbitration_removeIt[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2095"
  wire $techmap\murax.system_cpu.$1\execute_CsrPlugin_illegalAccess[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2095"
  wire width 4 $techmap\murax.system_cpu.$1\execute_CsrPlugin_readData[3:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2133"
  wire width 32 $techmap\murax.system_cpu.$1\execute_CsrPlugin_writeData[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2197"
  wire width 32 $techmap\murax.system_cpu.$1\execute_IntAluPlugin_bitwise[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1869"
  wire $techmap\murax.system_cpu.$1\execute_arbitration_flushAll[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1716"
  wire $techmap\murax.system_cpu.$1\execute_arbitration_haltItself[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1862"
  wire $techmap\murax.system_cpu.$1\execute_arbitration_removeIt[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1808"
  wire $techmap\murax.system_cpu.$1\fetch_arbitration_haltItself[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1816"
  wire $techmap\murax.system_cpu.$1\fetch_arbitration_removeIt[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1882"
  wire $techmap\murax.system_cpu.$1\memory_arbitration_haltItself[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1903"
  wire $techmap\murax.system_cpu.$1\memory_arbitration_removeIt[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1784"
  wire $techmap\murax.system_cpu.$1\prefetch_arbitration_haltByOther[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1777"
  wire $techmap\murax.system_cpu.$1\prefetch_arbitration_haltItself[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1799"
  wire $techmap\murax.system_cpu.$1\prefetch_arbitration_removeIt[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2052"
  wire width 32 $techmap\murax.system_cpu.$1\writeBack_DBusSimplePlugin_rspFormated[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1985"
  wire width 16 $techmap\murax.system_cpu.$1\writeBack_DBusSimplePlugin_rspShifted[15:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2188"
  wire $techmap\murax.system_cpu.$1\writeBack_RegFilePlugin_regFileWrite_valid[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1914"
  wire $techmap\murax.system_cpu.$1\writeBack_arbitration_removeIt[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1825"
  wire $techmap\murax.system_cpu.$2\DebugPlugin_insertDecodeInstruction[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2330"
  wire $techmap\murax.system_cpu.$2\_zz_116[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2330"
  wire $techmap\murax.system_cpu.$2\_zz_117[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2381"
  wire $techmap\murax.system_cpu.$2\_zz_121[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1825"
  wire $techmap\murax.system_cpu.$2\_zz_138[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1716"
  wire width 32 $techmap\murax.system_cpu.$2\_zz_58[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1882"
  wire $techmap\murax.system_cpu.$2\_zz_74[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1882"
  wire width 32 $techmap\murax.system_cpu.$2\_zz_75[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1825"
  wire $techmap\murax.system_cpu.$2\decode_arbitration_haltItself[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2095"
  wire $techmap\murax.system_cpu.$2\execute_CsrPlugin_illegalAccess[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2095"
  wire $techmap\murax.system_cpu.$2\execute_CsrPlugin_readData[7:7]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1869"
  wire $techmap\murax.system_cpu.$2\execute_arbitration_flushAll[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1716"
  wire $techmap\murax.system_cpu.$2\execute_arbitration_haltItself[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1882"
  wire $techmap\murax.system_cpu.$2\memory_arbitration_haltItself[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1784"
  wire $techmap\murax.system_cpu.$2\prefetch_arbitration_haltByOther[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1825"
  wire $techmap\murax.system_cpu.$3\DebugPlugin_insertDecodeInstruction[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2330"
  wire $techmap\murax.system_cpu.$3\_zz_116[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2330"
  wire $techmap\murax.system_cpu.$3\_zz_117[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2381"
  wire $techmap\murax.system_cpu.$3\_zz_121[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1825"
  wire $techmap\murax.system_cpu.$3\_zz_138[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1882"
  wire $techmap\murax.system_cpu.$3\_zz_74[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1882"
  wire width 32 $techmap\murax.system_cpu.$3\_zz_75[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1825"
  wire $techmap\murax.system_cpu.$3\decode_arbitration_haltItself[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2095"
  wire $techmap\murax.system_cpu.$3\execute_CsrPlugin_illegalAccess[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2095"
  wire width 2 $techmap\murax.system_cpu.$3\execute_CsrPlugin_readData[12:11]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1869"
  wire $techmap\murax.system_cpu.$3\execute_arbitration_flushAll[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1716"
  wire $techmap\murax.system_cpu.$3\execute_arbitration_haltItself[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1784"
  wire $techmap\murax.system_cpu.$3\prefetch_arbitration_haltByOther[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2330"
  wire $techmap\murax.system_cpu.$4\_zz_116[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2330"
  wire $techmap\murax.system_cpu.$4\_zz_117[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1825"
  wire $techmap\murax.system_cpu.$4\decode_arbitration_haltItself[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2095"
  wire $techmap\murax.system_cpu.$4\execute_CsrPlugin_readData[31:31]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1716"
  wire $techmap\murax.system_cpu.$4\execute_arbitration_haltItself[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2330"
  wire $techmap\murax.system_cpu.$5\_zz_116[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2330"
  wire $techmap\murax.system_cpu.$5\_zz_117[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1825"
  wire $techmap\murax.system_cpu.$5\decode_arbitration_haltItself[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1716"
  wire $techmap\murax.system_cpu.$5\execute_arbitration_haltItself[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2330"
  wire $techmap\murax.system_cpu.$6\_zz_116[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2330"
  wire $techmap\murax.system_cpu.$6\_zz_117[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1825"
  wire $techmap\murax.system_cpu.$6\decode_arbitration_haltItself[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2330"
  wire $techmap\murax.system_cpu.$7\_zz_116[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2330"
  wire $techmap\murax.system_cpu.$7\_zz_117[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2330"
  wire $techmap\murax.system_cpu.$8\_zz_116[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2330"
  wire $techmap\murax.system_cpu.$8\_zz_117[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2330"
  wire $techmap\murax.system_cpu.$9\_zz_116[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2330"
  wire $techmap\murax.system_cpu.$9\_zz_117[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1497"
  wire width 32 $techmap\murax.system_cpu.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1497$146_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1498"
  wire width 32 $techmap\murax.system_cpu.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1498$147_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1930"
  wire width 32 $techmap\murax.system_cpu.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1930$292_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1937"
  wire width 32 $techmap\murax.system_cpu.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1937$294_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2489"
  wire width 32 $techmap\murax.system_cpu.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2489$433_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2713"
  wire width 64 $techmap\murax.system_cpu.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2713$568_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2715"
  wire width 64 $techmap\murax.system_cpu.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2715$569_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1478"
  wire width 2 $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1478$142_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1517"
  wire width 32 $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1517$154_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1519"
  wire width 32 $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1519$155_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1520"
  wire width 32 $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1520$157_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1521"
  wire width 32 $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1521$159_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1535"
  wire width 32 $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1535$169_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1537"
  wire width 32 $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1537$170_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1538"
  wire width 32 $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1538$172_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1539"
  wire width 32 $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1539$174_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1541"
  wire width 32 $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1541$175_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1554"
  wire width 32 $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1554$182_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1556"
  wire width 32 $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1556$183_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1558"
  wire width 32 $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1558$184_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1560"
  wire width 32 $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1560$185_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1561"
  wire width 32 $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1561$187_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1562"
  wire width 32 $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1562$189_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1568"
  wire width 32 $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1568$195_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1570"
  wire width 32 $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1570$196_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1571"
  wire width 32 $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1571$198_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1579"
  wire width 32 $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1579$205_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1581"
  wire width 32 $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1581$206_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1583"
  wire width 32 $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1583$207_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1586"
  wire width 32 $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1586$209_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1590"
  wire width 32 $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1590$217_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1592"
  wire width 32 $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1592$218_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1593"
  wire width 32 $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1593$220_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1594"
  wire width 32 $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1594$222_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1594"
  wire width 32 $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1594$224_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1596"
  wire width 32 $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1596$227_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1601"
  wire width 32 $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1601$229_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1603"
  wire width 32 $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1603$230_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2139"
  wire width 32 $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2139$353_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2149"
  wire width 32 $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2149$363_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2150"
  wire width 32 $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2150$365_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2151"
  wire width 32 $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2151$367_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2152"
  wire width 32 $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2152$369_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2153"
  wire width 32 $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2153$371_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2154"
  wire width 32 $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2154$373_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2200"
  wire width 32 $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2200$383_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2386"
  wire width 3 $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2386$423_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1474"
  wire $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1474$138_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1506"
  wire $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1506$152_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1519"
  wire $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1519$156_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1520"
  wire $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1520$158_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1521"
  wire $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1521$160_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1527"
  wire $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1527$164_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1529"
  wire $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1529$165_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1537"
  wire $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1537$171_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1538"
  wire $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1538$173_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1541"
  wire $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1541$176_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1542"
  wire $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1542$177_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1543"
  wire $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1543$178_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1544"
  wire $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1544$179_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1560"
  wire $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1560$186_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1561"
  wire $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1561$188_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1562"
  wire $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1562$190_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1565"
  wire $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1565$191_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1570"
  wire $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1570$197_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1571"
  wire $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1571$199_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1572"
  wire $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1572$200_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1572"
  wire $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1572$201_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1583"
  wire $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1583$208_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1586"
  wire $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1586$210_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1587"
  wire $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1587$212_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1592"
  wire $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1592$219_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1593"
  wire $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1593$221_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1594"
  wire $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1594$223_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1594"
  wire $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1594$225_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1596"
  wire $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1596$226_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1596"
  wire $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1596$228_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1603"
  wire $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1603$231_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1711"
  wire $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1711$243_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2093"
  wire $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2093$338_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2093"
  wire $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2093$339_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2093"
  wire $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2093$341_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2093"
  wire $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2093$342_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2149"
  wire $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2149$364_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2150"
  wire $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2150$366_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2151"
  wire $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2151$368_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2152"
  wire $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2152$370_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2153"
  wire $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2153$372_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2154"
  wire $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2154$374_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2155"
  wire $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2155$378_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2311"
  wire $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2311$391_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2318"
  wire $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2318$397_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2334"
  wire $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2334$401_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2337"
  wire $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2337$402_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2343"
  wire $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2343$404_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2346"
  wire $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2346$405_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2353"
  wire $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2353$409_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2356"
  wire $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2356$410_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2363"
  wire $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2363$414_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2366"
  wire $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2366$415_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2379"
  wire $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2379$418_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2382"
  wire $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2382$420_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2384"
  wire $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2384$421_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2386"
  wire $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2386$424_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2411"
  wire $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2411$427_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2490"
  wire $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2490$434_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1470"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1470$133_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1471"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1471$134_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1471"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1471$136_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1475"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1475$139_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1480"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1480$144_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1506"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1506$153_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1719"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1719$245_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1719"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1719$247_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1719"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1719$249_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1722"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1722$251_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1725"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1725$252_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1748"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1748$255_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1779"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1779$260_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1810"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1810$266_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1810"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1810$268_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1827"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1827$271_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1827"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1827$273_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1830"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1830$275_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1886"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1886$283_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1886"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1886$285_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1886"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1886$287_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1938"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1938$296_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1938"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1938$298_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1938"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1938$300_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1938"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1938$302_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1949"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1949$305_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1949"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1949$307_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1949"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1949$309_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1949"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1949$311_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2002"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2002$317_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2031"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2031$320_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2077"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2077$329_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2077"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2077$330_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2077"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2077$332_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2077"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2077$334_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2078"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2078$335_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2093"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2093$340_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2093"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2093$343_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2096"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2096$348_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2144"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2144$356_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2144"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2144$357_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2145"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2145$358_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2145"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2145$359_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2146"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2146$360_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2147"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2147$362_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2189"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2189$381_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2341"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2341$403_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2351"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2351$406_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2361"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2361$411_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2491"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2491$436_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2532"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2532$464_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2533"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2533$466_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2533"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2533$468_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2536"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2536$477_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2537"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2537$479_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2537"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2537$481_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2540"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2540$489_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2541"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2541$491_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2541"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2541$493_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2544"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2544$500_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2545"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2545$502_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2545"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2545$504_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2548"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2548$510_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2549"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2549$512_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2549"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2549$514_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2552"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2552$519_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2553"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2553$521_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2553"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2553$523_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2591"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2591$525_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2625"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2625$527_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2648"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2648$534_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2654"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2654$539_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2660"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2660$544_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2666"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2666$549_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2672"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2672$554_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2707"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2707$557_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2707"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2707$558_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2707"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2707$559_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2710"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2710$562_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2710"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2710$564_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2710"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2710$565_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2720"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2720$570_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2925"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2925$623_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2928"
  wire $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2928$625_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1472"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1472$137_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1719"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1719$246_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1719"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1719$248_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1722"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1722$250_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1731"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1731$253_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1779"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1779$258_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1779"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1779$259_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1810"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1810$265_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1810"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1810$267_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1845"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1845$278_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1886"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1886$284_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1886"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1886$286_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1938"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1938$295_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1938"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1938$297_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1938"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1938$299_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1938"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1938$301_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1949"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1949$306_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1949"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1949$308_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1949"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1949$310_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2002"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2002$316_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2031"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2031$319_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2076"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2076$328_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2093"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2093$345_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2147"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2147$361_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2352"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2352$407_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2362"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2362$412_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2371"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2371$416_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2374"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2374$417_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2385"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2385$422_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2387"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2387$425_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2495"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2495$439_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2532"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2532$462_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2532"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2532$463_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2533"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2533$465_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2533"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2533$467_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2536"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2536$475_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2536"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2536$476_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2537"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2537$478_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2537"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2537$480_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2540"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2540$487_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2540"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2540$488_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2541"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2541$490_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2541"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2541$492_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2544"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2544$498_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2544"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2544$499_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2545"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2545$501_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2545"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2545$503_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2548"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2548$508_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2548"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2548$509_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2549"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2549$511_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2549"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2549$513_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2552"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2552$517_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2552"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2552$518_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2553"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2553$520_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2553"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2553$522_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2597"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2597$526_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2639"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2639$528_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2642"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2642$529_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2645"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2645$530_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2648"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2648$532_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2648"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2648$533_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2651"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2651$535_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2654"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2654$537_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2654"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2654$538_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2657"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2657$540_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2660"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2660$542_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2660"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2660$543_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2663"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2663$545_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2666"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2666$547_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2666"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2666$548_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2669"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2669$550_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2672"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2672$552_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2672"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2672$553_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2704"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2704$556_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2707"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2707$560_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2707"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2707$561_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2710"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2710$563_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2710"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2710$566_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2710"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2710$567_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2730"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2730$572_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2739"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2739$574_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2742"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2742$575_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2745"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2745$576_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2748"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2748$577_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2751"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2751$578_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2754"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2754$579_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2757"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2757$580_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2760"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2760$581_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2763"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2763$582_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2766"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2766$583_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2769"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2769$584_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2772"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2772$585_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2775"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2775$586_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2778"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2778$587_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2781"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2781$588_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2784"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2784$589_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2787"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2787$590_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2790"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2790$591_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2793"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2793$592_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2796"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2796$593_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2799"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2799$594_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2802"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2802$595_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2805"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2805$596_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2808"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2808$597_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2811"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2811$598_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2814"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2814$599_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2817"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2817$600_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2820"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2820$601_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2823"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2823$602_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2826"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2826$603_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2829"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2829$604_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2832"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2832$605_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2835"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2835$606_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2838"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2838$607_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2841"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2841$608_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2844"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2844$609_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2847"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2847$610_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2850"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2850$611_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2853"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2853$612_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2856"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2856$613_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2859"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2859$614_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2862"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2862$615_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2865"
  wire $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2865$616_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1475"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1475$140_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1779"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1779$261_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1827"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1827$272_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1830"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1830$274_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1845"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1845$276_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1845"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1845$277_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1925"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1925$291_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1931"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1931$293_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2076"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2076$324_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2076"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2076$325_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2076"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2076$326_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2076"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2076$327_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2077"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2077$331_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2077"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2077$333_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2093"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2093$344_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2352"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2352$408_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2362"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2362$413_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2492"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2492$437_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2524"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2524$440_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2524"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2524$441_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2524"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2524$442_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2524"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2524$443_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2524"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2524$444_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2525"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2525$445_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2525"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2525$446_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2525"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2525$447_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2525"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2525$448_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2526"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2526$449_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2526"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2526$450_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2526"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2526$451_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2527"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2527$452_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2527"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2527$453_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2528"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2528$454_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2530"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2530$455_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2530"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2530$456_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2530"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2530$457_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2530"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2530$458_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2530"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2530$459_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2530"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2530$460_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2531"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2531$461_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2534"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2534$469_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2534"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2534$470_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2534"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2534$471_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2534"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2534$472_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2534"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2534$473_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2535"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2535$474_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2538"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2538$482_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2538"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2538$483_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2538"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2538$484_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2538"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2538$485_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2539"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2539$486_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2542"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2542$494_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2542"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2542$495_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2542"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2542$496_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2543"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2543$497_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2546"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2546$505_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2546"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2546$506_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2547"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2547$507_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2550"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2550$515_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2551"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2551$516_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2645"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2645$531_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2651"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2651$536_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2657"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2657$541_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2663"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2663$546_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2669"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2669$551_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2879"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2879$618_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2879"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2879$619_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2879"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2879$620_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2879"
  wire $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2879$621_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2127"
  wire $techmap\murax.system_cpu.$lt$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2127$349_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1612"
  wire width 32 $techmap\murax.system_cpu.$memrd$\RegFilePlugin_regFile$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1612$237_DATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1618"
  wire width 32 $techmap\murax.system_cpu.$memrd$\RegFilePlugin_regFile$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1618$239_DATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1606"
  wire width 5 $techmap\murax.system_cpu.$memwr$\RegFilePlugin_regFile$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1606$132_ADDR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1606"
  wire width 32 $techmap\murax.system_cpu.$memwr$\RegFilePlugin_regFile$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1606$132_DATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1606"
  wire width 32 $techmap\murax.system_cpu.$memwr$\RegFilePlugin_regFile$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1606$132_EN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1471"
  wire $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1471$135_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1524"
  wire $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1524$161_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1525"
  wire $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1525$162_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1525"
  wire $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1525$163_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1532"
  wire $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1532$166_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1533"
  wire $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1533$167_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1534"
  wire $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1534$168_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1548"
  wire $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1548$180_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1549"
  wire $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1549$181_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1565"
  wire $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1565$192_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1566"
  wire $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1566$193_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1567"
  wire $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1567$194_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1574"
  wire $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1574$202_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1575"
  wire $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1575$203_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1576"
  wire $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1576$204_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1586"
  wire $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1586$211_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1587"
  wire $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1587$213_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1588"
  wire $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1588$214_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1588"
  wire $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1588$215_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1588"
  wire $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1588$216_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2094"
  wire $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2094$346_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2155"
  wire $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2155$375_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2155"
  wire $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2155$376_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2155"
  wire $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2155$377_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2155"
  wire $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2155$379_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2315"
  wire $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2315$394_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2928"
  wire $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2928$624_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1478"
  wire width 2 $techmap\murax.system_cpu.$not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1478$141_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1500"
  wire width 32 $techmap\murax.system_cpu.$not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1500$148_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2139"
  wire width 32 $techmap\murax.system_cpu.$not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2139$352_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2139"
  wire width 32 $techmap\murax.system_cpu.$or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2139$354_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2203"
  wire width 32 $techmap\murax.system_cpu.$or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2203$384_Y
  wire width 32 $techmap\murax.system_cpu.$procmux$1674_Y
  wire $techmap\murax.system_cpu.$procmux$1675_CMP
  wire width 32 $techmap\murax.system_cpu.$procmux$1676_Y
  wire $techmap\murax.system_cpu.$procmux$1677_CMP
  wire width 5 $techmap\murax.system_cpu.$procmux$1678_Y
  wire $techmap\murax.system_cpu.$procmux$1679_CMP
  wire width 32 $techmap\murax.system_cpu.$procmux$1680_Y
  wire $techmap\murax.system_cpu.$procmux$1681_CMP
  wire width 32 $techmap\murax.system_cpu.$procmux$1682_Y
  wire $techmap\murax.system_cpu.$procmux$1683_CMP
  wire width 32 $techmap\murax.system_cpu.$procmux$1685_Y
  wire $techmap\murax.system_cpu.$procmux$1686_CMP
  wire $techmap\murax.system_cpu.$procmux$1688_Y
  wire $techmap\murax.system_cpu.$procmux$1689_CMP
  wire $techmap\murax.system_cpu.$procmux$1691_Y
  wire $techmap\murax.system_cpu.$procmux$1692_CMP
  wire $techmap\murax.system_cpu.$procmux$1696_Y
  wire $techmap\murax.system_cpu.$procmux$1697_CMP
  wire $techmap\murax.system_cpu.$procmux$1698_Y
  wire $techmap\murax.system_cpu.$procmux$1699_CMP
  wire $techmap\murax.system_cpu.$procmux$1700_Y
  wire $techmap\murax.system_cpu.$procmux$1701_CMP
  wire $techmap\murax.system_cpu.$procmux$1704_Y
  wire $techmap\murax.system_cpu.$procmux$1705_CMP
  wire $techmap\murax.system_cpu.$procmux$1706_Y
  wire $techmap\murax.system_cpu.$procmux$1707_CMP
  wire $techmap\murax.system_cpu.$procmux$1709_Y
  wire $techmap\murax.system_cpu.$procmux$1710_CMP
  wire width 32 $techmap\murax.system_cpu.$procmux$1712_Y
  wire $techmap\murax.system_cpu.$procmux$1713_CMP
  wire width 32 $techmap\murax.system_cpu.$procmux$1715_Y
  wire $techmap\murax.system_cpu.$procmux$1716_CMP
  wire $techmap\murax.system_cpu.$procmux$1718_Y
  wire $techmap\murax.system_cpu.$procmux$1719_CMP
  wire $techmap\murax.system_cpu.$procmux$1721_Y
  wire $techmap\murax.system_cpu.$procmux$1722_CMP
  wire width 32 $techmap\murax.system_cpu.$procmux$1724_Y
  wire $techmap\murax.system_cpu.$procmux$1725_CMP
  wire width 32 $techmap\murax.system_cpu.$procmux$1727_Y
  wire $techmap\murax.system_cpu.$procmux$1728_CMP
  wire $techmap\murax.system_cpu.$procmux$1730_Y
  wire $techmap\murax.system_cpu.$procmux$1731_CMP
  wire $techmap\murax.system_cpu.$procmux$1733_Y
  wire $techmap\murax.system_cpu.$procmux$1734_CMP
  wire $techmap\murax.system_cpu.$procmux$1736_Y
  wire $techmap\murax.system_cpu.$procmux$1737_CMP
  wire $techmap\murax.system_cpu.$procmux$1739_Y
  wire $techmap\murax.system_cpu.$procmux$1740_CMP
  wire $techmap\murax.system_cpu.$procmux$1742_Y
  wire $techmap\murax.system_cpu.$procmux$1743_CMP
  wire $techmap\murax.system_cpu.$procmux$1745_Y
  wire $techmap\murax.system_cpu.$procmux$1746_CMP
  wire $techmap\murax.system_cpu.$procmux$1748_Y
  wire $techmap\murax.system_cpu.$procmux$1749_CMP
  wire $techmap\murax.system_cpu.$procmux$1751_Y
  wire $techmap\murax.system_cpu.$procmux$1752_CMP
  wire $techmap\murax.system_cpu.$procmux$1756_Y
  wire $techmap\murax.system_cpu.$procmux$1757_CMP
  wire $techmap\murax.system_cpu.$procmux$1758_Y
  wire $techmap\murax.system_cpu.$procmux$1759_CMP
  wire $techmap\murax.system_cpu.$procmux$1761_Y
  wire $techmap\murax.system_cpu.$procmux$1762_CMP
  wire $techmap\murax.system_cpu.$procmux$1763_Y
  wire $techmap\murax.system_cpu.$procmux$1764_CMP
  wire $techmap\murax.system_cpu.$procmux$1767_Y
  wire $techmap\murax.system_cpu.$procmux$1768_CMP
  wire $techmap\murax.system_cpu.$procmux$1770_Y
  wire $techmap\murax.system_cpu.$procmux$1771_CMP
  wire $techmap\murax.system_cpu.$procmux$1772_Y
  wire $techmap\murax.system_cpu.$procmux$1773_CMP
  wire $techmap\murax.system_cpu.$procmux$1776_Y
  wire $techmap\murax.system_cpu.$procmux$1777_CMP
  wire $techmap\murax.system_cpu.$procmux$1779_Y
  wire $techmap\murax.system_cpu.$procmux$1780_CMP
  wire $techmap\murax.system_cpu.$procmux$1781_Y
  wire $techmap\murax.system_cpu.$procmux$1782_CMP
  wire $techmap\murax.system_cpu.$procmux$1785_Y
  wire $techmap\murax.system_cpu.$procmux$1786_CMP
  wire $techmap\murax.system_cpu.$procmux$1788_Y
  wire $techmap\murax.system_cpu.$procmux$1789_CMP
  wire $techmap\murax.system_cpu.$procmux$1790_Y
  wire $techmap\murax.system_cpu.$procmux$1791_CMP
  wire $techmap\murax.system_cpu.$procmux$1794_Y
  wire $techmap\murax.system_cpu.$procmux$1795_CMP
  wire $techmap\murax.system_cpu.$procmux$1796_Y
  wire $techmap\murax.system_cpu.$procmux$1797_CMP
  wire $techmap\murax.system_cpu.$procmux$1800_Y
  wire $techmap\murax.system_cpu.$procmux$1801_CMP
  wire $techmap\murax.system_cpu.$procmux$1802_Y
  wire $techmap\murax.system_cpu.$procmux$1803_CMP
  wire $techmap\murax.system_cpu.$procmux$1806_Y
  wire $techmap\murax.system_cpu.$procmux$1807_CMP
  wire $techmap\murax.system_cpu.$procmux$1808_Y
  wire $techmap\murax.system_cpu.$procmux$1809_CMP
  wire $techmap\murax.system_cpu.$procmux$1811_Y
  wire $techmap\murax.system_cpu.$procmux$1812_CMP
  wire $techmap\murax.system_cpu.$procmux$1814_Y
  wire $techmap\murax.system_cpu.$procmux$1815_CMP
  wire $techmap\murax.system_cpu.$procmux$1817_Y
  wire $techmap\murax.system_cpu.$procmux$1818_CMP
  wire $techmap\murax.system_cpu.$procmux$1820_Y
  wire $techmap\murax.system_cpu.$procmux$1821_CMP
  wire $techmap\murax.system_cpu.$procmux$1823_Y
  wire $techmap\murax.system_cpu.$procmux$1824_CMP
  wire $techmap\murax.system_cpu.$procmux$1826_Y
  wire $techmap\murax.system_cpu.$procmux$1827_CMP
  wire $techmap\murax.system_cpu.$procmux$1829_Y
  wire $techmap\murax.system_cpu.$procmux$1830_CMP
  wire $techmap\murax.system_cpu.$procmux$1832_Y
  wire $techmap\murax.system_cpu.$procmux$1833_CMP
  wire $techmap\murax.system_cpu.$procmux$1836_Y
  wire $techmap\murax.system_cpu.$procmux$1837_CMP
  wire $techmap\murax.system_cpu.$procmux$1838_Y
  wire $techmap\murax.system_cpu.$procmux$1839_CMP
  wire $techmap\murax.system_cpu.$procmux$1841_Y
  wire $techmap\murax.system_cpu.$procmux$1842_CMP
  wire width 32 $techmap\murax.system_cpu.$procmux$1845_Y
  wire $techmap\murax.system_cpu.$procmux$1846_CMP
  wire width 32 $techmap\murax.system_cpu.$procmux$1847_Y
  wire $techmap\murax.system_cpu.$procmux$1848_CMP
  wire $techmap\murax.system_cpu.$procmux$1851_Y
  wire $techmap\murax.system_cpu.$procmux$1852_CMP
  wire $techmap\murax.system_cpu.$procmux$1853_Y
  wire $techmap\murax.system_cpu.$procmux$1854_CMP
  wire width 32 $techmap\murax.system_cpu.$procmux$1856_Y
  wire $techmap\murax.system_cpu.$procmux$1857_CMP
  wire $techmap\murax.system_cpu.$procmux$1859_Y
  wire $techmap\murax.system_cpu.$procmux$1860_CMP
  wire $techmap\murax.system_cpu.$procmux$1862_Y
  wire $techmap\murax.system_cpu.$procmux$1863_CMP
  wire width 32 $techmap\murax.system_cpu.$procmux$1865_Y
  wire $techmap\murax.system_cpu.$procmux$1866_CMP
  wire $techmap\murax.system_cpu.$procmux$1868_Y
  wire $techmap\murax.system_cpu.$procmux$1869_CMP
  wire $techmap\murax.system_cpu.$procmux$1871_Y
  wire $techmap\murax.system_cpu.$procmux$1872_CMP
  wire $techmap\murax.system_cpu.$procmux$1874_Y
  wire $techmap\murax.system_cpu.$procmux$1875_CMP
  wire $techmap\murax.system_cpu.$procmux$1877_Y
  wire $techmap\murax.system_cpu.$procmux$1878_CMP
  wire $techmap\murax.system_cpu.$procmux$1880_Y
  wire $techmap\murax.system_cpu.$procmux$1881_CMP
  wire width 32 $techmap\murax.system_cpu.$procmux$1883_Y
  wire $techmap\murax.system_cpu.$procmux$1884_CMP
  wire width 32 $techmap\murax.system_cpu.$procmux$1886_Y
  wire $techmap\murax.system_cpu.$procmux$1887_CMP
  wire $techmap\murax.system_cpu.$procmux$1888_CMP
  wire width 4 $techmap\murax.system_cpu.$procmux$1890_Y
  wire $techmap\murax.system_cpu.$procmux$1891_CMP
  wire $techmap\murax.system_cpu.$procmux$1892_CMP
  wire width 8 $techmap\murax.system_cpu.$procmux$1895_Y
  wire $techmap\murax.system_cpu.$procmux$1896_CMP
  wire width 8 $techmap\murax.system_cpu.$procmux$1898_Y
  wire $techmap\murax.system_cpu.$procmux$1899_CMP
  wire $techmap\murax.system_cpu.$procmux$1900_CMP
  wire $techmap\murax.system_cpu.$procmux$1901_CMP
  wire width 32 $techmap\murax.system_cpu.$procmux$1903_Y
  wire $techmap\murax.system_cpu.$procmux$1904_CMP
  wire $techmap\murax.system_cpu.$procmux$1905_CMP
  wire $techmap\murax.system_cpu.$procmux$1907_Y
  wire $techmap\murax.system_cpu.$procmux$1908_CMP
  wire width 32 $techmap\murax.system_cpu.$procmux$1910_Y
  wire $techmap\murax.system_cpu.$procmux$1911_CMP
  wire $techmap\murax.system_cpu.$procmux$1913_Y
  wire $techmap\murax.system_cpu.$procmux$1914_CMP
  wire $techmap\murax.system_cpu.$procmux$1919_Y
  wire $techmap\murax.system_cpu.$procmux$1920_CMP
  wire width 3 $techmap\murax.system_cpu.$procmux$1922_Y
  wire $techmap\murax.system_cpu.$procmux$1923_CMP
  wire $techmap\murax.system_cpu.$procmux$1925_Y
  wire $techmap\murax.system_cpu.$procmux$1926_CMP
  wire $techmap\murax.system_cpu.$procmux$1927_CMP
  wire $techmap\murax.system_cpu.$procmux$1928_CMP
  wire $techmap\murax.system_cpu.$procmux$1929_CMP
  wire $techmap\murax.system_cpu.$procmux$1932_Y
  wire $techmap\murax.system_cpu.$procmux$1933_CMP
  wire $techmap\murax.system_cpu.$procmux$1934_CMP
  wire $techmap\murax.system_cpu.$procmux$1935_CMP
  wire $techmap\murax.system_cpu.$procmux$1938_Y
  wire $techmap\murax.system_cpu.$procmux$1939_CMP
  wire $techmap\murax.system_cpu.$procmux$1940_Y
  wire $techmap\murax.system_cpu.$procmux$1941_CMP
  wire $techmap\murax.system_cpu.$procmux$1943_Y
  wire $techmap\murax.system_cpu.$procmux$1944_CMP
  wire $techmap\murax.system_cpu.$procmux$1945_CMP
  wire $techmap\murax.system_cpu.$procmux$1946_CMP
  wire $techmap\murax.system_cpu.$procmux$1947_CMP
  wire $techmap\murax.system_cpu.$procmux$1949_Y
  wire $techmap\murax.system_cpu.$procmux$1950_CMP
  wire $techmap\murax.system_cpu.$procmux$1953_Y
  wire $techmap\murax.system_cpu.$procmux$1954_CMP
  wire $techmap\murax.system_cpu.$procmux$1955_CMP
  wire $techmap\murax.system_cpu.$procmux$1956_CMP
  wire width 32 $techmap\murax.system_cpu.$procmux$1958_Y
  wire $techmap\murax.system_cpu.$procmux$1959_CMP
  wire $techmap\murax.system_cpu.$procmux$1961_Y
  wire $techmap\murax.system_cpu.$procmux$1962_CMP
  wire width 32 $techmap\murax.system_cpu.$procmux$1964_Y
  wire $techmap\murax.system_cpu.$procmux$1965_CMP
  wire $techmap\murax.system_cpu.$procmux$1966_CMP
  wire $techmap\murax.system_cpu.$procmux$1967_CMP
  wire width 32 $techmap\murax.system_cpu.$procmux$1969_Y
  wire $techmap\murax.system_cpu.$procmux$1970_CMP
  wire $techmap\murax.system_cpu.$procmux$1971_CMP
  wire width 32 $techmap\murax.system_cpu.$procmux$1973_Y
  wire $techmap\murax.system_cpu.$procmux$1974_CMP
  wire $techmap\murax.system_cpu.$procmux$1975_CMP
  wire width 32 $techmap\murax.system_cpu.$procmux$1977_Y
  wire $techmap\murax.system_cpu.$procmux$1978_CMP
  wire $techmap\murax.system_cpu.$procmux$1979_CMP
  wire $techmap\murax.system_cpu.$procmux$1980_CMP
  wire width 32 $techmap\murax.system_cpu.$procmux$1982_Y
  wire $techmap\murax.system_cpu.$procmux$1983_CMP
  wire $techmap\murax.system_cpu.$procmux$1985_Y
  wire $techmap\murax.system_cpu.$procmux$1986_CMP
  wire $techmap\murax.system_cpu.$procmux$1988_Y
  wire $techmap\murax.system_cpu.$procmux$1989_CMP
  wire $techmap\murax.system_cpu.$procmux$1993_Y
  wire $techmap\murax.system_cpu.$procmux$1994_CMP
  wire $techmap\murax.system_cpu.$procmux$1995_Y
  wire $techmap\murax.system_cpu.$procmux$1996_CMP
  wire $techmap\murax.system_cpu.$procmux$1997_Y
  wire $techmap\murax.system_cpu.$procmux$1998_CMP
  wire $techmap\murax.system_cpu.$procmux$2002_Y
  wire $techmap\murax.system_cpu.$procmux$2003_CMP
  wire $techmap\murax.system_cpu.$procmux$2004_Y
  wire $techmap\murax.system_cpu.$procmux$2005_CMP
  wire $techmap\murax.system_cpu.$procmux$2006_Y
  wire $techmap\murax.system_cpu.$procmux$2007_CMP
  wire $techmap\murax.system_cpu.$procmux$2010_Y
  wire $techmap\murax.system_cpu.$procmux$2011_CMP
  wire $techmap\murax.system_cpu.$procmux$2012_Y
  wire $techmap\murax.system_cpu.$procmux$2013_CMP
  wire $techmap\murax.system_cpu.$procmux$2016_Y
  wire $techmap\murax.system_cpu.$procmux$2017_CMP
  wire $techmap\murax.system_cpu.$procmux$2018_Y
  wire $techmap\murax.system_cpu.$procmux$2019_CMP
  wire $techmap\murax.system_cpu.$procmux$2021_Y
  wire $techmap\murax.system_cpu.$procmux$2022_CMP
  wire $techmap\murax.system_cpu.$procmux$2024_Y
  wire $techmap\murax.system_cpu.$procmux$2025_CMP
  wire $techmap\murax.system_cpu.$procmux$2029_Y
  wire $techmap\murax.system_cpu.$procmux$2030_CMP
  wire $techmap\murax.system_cpu.$procmux$2031_Y
  wire $techmap\murax.system_cpu.$procmux$2032_CMP
  wire $techmap\murax.system_cpu.$procmux$2033_Y
  wire $techmap\murax.system_cpu.$procmux$2034_CMP
  wire $techmap\murax.system_cpu.$procmux$2038_Y
  wire $techmap\murax.system_cpu.$procmux$2039_CMP
  wire $techmap\murax.system_cpu.$procmux$2040_Y
  wire $techmap\murax.system_cpu.$procmux$2041_CMP
  wire $techmap\murax.system_cpu.$procmux$2042_Y
  wire $techmap\murax.system_cpu.$procmux$2043_CMP
  wire $techmap\murax.system_cpu.$procmux$2046_Y
  wire $techmap\murax.system_cpu.$procmux$2047_CMP
  wire $techmap\murax.system_cpu.$procmux$2048_Y
  wire $techmap\murax.system_cpu.$procmux$2049_CMP
  wire $techmap\murax.system_cpu.$procmux$2052_Y
  wire $techmap\murax.system_cpu.$procmux$2053_CMP
  wire $techmap\murax.system_cpu.$procmux$2054_Y
  wire $techmap\murax.system_cpu.$procmux$2055_CMP
  wire $techmap\murax.system_cpu.$procmux$2057_Y
  wire $techmap\murax.system_cpu.$procmux$2058_CMP
  wire $techmap\murax.system_cpu.$procmux$2060_Y
  wire $techmap\murax.system_cpu.$procmux$2061_CMP
  wire $techmap\murax.system_cpu.$procmux$2064_Y
  wire $techmap\murax.system_cpu.$procmux$2065_CMP
  wire $techmap\murax.system_cpu.$procmux$2066_Y
  wire $techmap\murax.system_cpu.$procmux$2067_CMP
  wire $techmap\murax.system_cpu.$procmux$2070_Y
  wire $techmap\murax.system_cpu.$procmux$2071_CMP
  wire $techmap\murax.system_cpu.$procmux$2072_Y
  wire $techmap\murax.system_cpu.$procmux$2073_CMP
  wire $techmap\murax.system_cpu.$procmux$2075_Y
  wire $techmap\murax.system_cpu.$procmux$2076_CMP
  wire $techmap\murax.system_cpu.$procmux$2078_Y
  wire $techmap\murax.system_cpu.$procmux$2079_CMP
  wire $techmap\murax.system_cpu.$procmux$2081_Y
  wire $techmap\murax.system_cpu.$procmux$2082_CMP
  wire $techmap\murax.system_cpu.$procmux$2084_Y
  wire $techmap\murax.system_cpu.$procmux$2085_CMP
  wire $techmap\murax.system_cpu.$procmux$2088_Y
  wire $techmap\murax.system_cpu.$procmux$2089_CMP
  wire $techmap\murax.system_cpu.$procmux$2090_Y
  wire $techmap\murax.system_cpu.$procmux$2091_CMP
  wire $techmap\murax.system_cpu.$procmux$2094_Y
  wire $techmap\murax.system_cpu.$procmux$2095_CMP
  wire $techmap\murax.system_cpu.$procmux$2096_Y
  wire $techmap\murax.system_cpu.$procmux$2097_CMP
  wire $techmap\murax.system_cpu.$procmux$2099_Y
  wire $techmap\murax.system_cpu.$procmux$2100_CMP
  wire $techmap\murax.system_cpu.$procmux$2102_Y
  wire $techmap\murax.system_cpu.$procmux$2103_CMP
  wire $techmap\murax.system_cpu.$procmux$2105_Y
  wire $techmap\murax.system_cpu.$procmux$2106_CMP
  wire $techmap\murax.system_cpu.$procmux$2108_Y
  wire $techmap\murax.system_cpu.$procmux$2109_CMP
  wire $techmap\murax.system_cpu.$procmux$2111_Y
  wire $techmap\murax.system_cpu.$procmux$2112_CMP
  wire $techmap\murax.system_cpu.$procmux$2114_Y
  wire $techmap\murax.system_cpu.$procmux$2115_CMP
  wire $techmap\murax.system_cpu.$procmux$2117_Y
  wire $techmap\murax.system_cpu.$procmux$2118_CMP
  wire $techmap\murax.system_cpu.$procmux$2120_Y
  wire $techmap\murax.system_cpu.$procmux$2121_CMP
  wire $techmap\murax.system_cpu.$procmux$2123_Y
  wire $techmap\murax.system_cpu.$procmux$2124_CMP
  wire $techmap\murax.system_cpu.$procmux$2125_CMP
  wire $techmap\murax.system_cpu.$procmux$2126_CMP
  wire width 32 $techmap\murax.system_cpu.$procmux$2128_Y
  wire $techmap\murax.system_cpu.$procmux$2129_CMP
  wire $techmap\murax.system_cpu.$procmux$2130_CMP
  wire $techmap\murax.system_cpu.$procmux$2132_Y
  wire $techmap\murax.system_cpu.$procmux$2133_CMP
  wire $techmap\murax.system_cpu.$procmux$2135_Y
  wire $techmap\murax.system_cpu.$procmux$2136_CMP
  wire $techmap\murax.system_cpu.$procmux$2138_Y
  wire $techmap\murax.system_cpu.$procmux$2139_CMP
  wire $techmap\murax.system_cpu.$procmux$2141_Y
  wire $techmap\murax.system_cpu.$procmux$2142_CMP
  wire $techmap\murax.system_cpu.$procmux$2144_Y
  wire $techmap\murax.system_cpu.$procmux$2145_CMP
  wire width 32 $techmap\murax.system_cpu.$procmux$2146_Y
  wire $techmap\murax.system_cpu.$procmux$2147_CMP
  wire width 32 $techmap\murax.system_cpu.$procmux$2148_Y
  wire $techmap\murax.system_cpu.$procmux$2149_CMP
  wire $techmap\murax.system_cpu.$procmux$2150_Y
  wire $techmap\murax.system_cpu.$procmux$2151_CMP
  wire $techmap\murax.system_cpu.$procmux$2152_Y
  wire $techmap\murax.system_cpu.$procmux$2153_CMP
  wire $techmap\murax.system_cpu.$procmux$2154_Y
  wire $techmap\murax.system_cpu.$procmux$2155_CMP
  wire $techmap\murax.system_cpu.$procmux$2156_Y
  wire $techmap\murax.system_cpu.$procmux$2157_CMP
  wire $techmap\murax.system_cpu.$procmux$2158_Y
  wire $techmap\murax.system_cpu.$procmux$2159_CMP
  wire $techmap\murax.system_cpu.$procmux$2160_Y
  wire $techmap\murax.system_cpu.$procmux$2161_CMP
  wire $techmap\murax.system_cpu.$procmux$2162_Y
  wire $techmap\murax.system_cpu.$procmux$2163_CMP
  wire $techmap\murax.system_cpu.$procmux$2164_Y
  wire $techmap\murax.system_cpu.$procmux$2165_CMP
  wire $techmap\murax.system_cpu.$procmux$2166_Y
  wire $techmap\murax.system_cpu.$procmux$2167_CMP
  wire $techmap\murax.system_cpu.$procmux$2168_Y
  wire $techmap\murax.system_cpu.$procmux$2169_CMP
  wire $techmap\murax.system_cpu.$procmux$2171_Y
  wire $techmap\murax.system_cpu.$procmux$2172_CMP
  wire $techmap\murax.system_cpu.$procmux$2173_Y
  wire $techmap\murax.system_cpu.$procmux$2174_CMP
  wire width 2 $techmap\murax.system_cpu.$procmux$2175_Y
  wire $techmap\murax.system_cpu.$procmux$2176_CMP
  wire width 2 $techmap\murax.system_cpu.$procmux$2179_Y
  wire $techmap\murax.system_cpu.$procmux$2180_CMP
  wire width 2 $techmap\murax.system_cpu.$procmux$2181_Y
  wire $techmap\murax.system_cpu.$procmux$2182_CMP
  wire $techmap\murax.system_cpu.$procmux$2183_Y
  wire $techmap\murax.system_cpu.$procmux$2184_CMP
  wire $techmap\murax.system_cpu.$procmux$2187_Y
  wire $techmap\murax.system_cpu.$procmux$2188_CMP
  wire $techmap\murax.system_cpu.$procmux$2189_Y
  wire $techmap\murax.system_cpu.$procmux$2190_CMP
  wire $techmap\murax.system_cpu.$procmux$2191_Y
  wire $techmap\murax.system_cpu.$procmux$2192_CMP
  wire $techmap\murax.system_cpu.$procmux$2193_Y
  wire $techmap\murax.system_cpu.$procmux$2194_CMP
  wire $techmap\murax.system_cpu.$procmux$2195_Y
  wire $techmap\murax.system_cpu.$procmux$2196_CMP
  wire $techmap\murax.system_cpu.$procmux$2199_Y
  wire $techmap\murax.system_cpu.$procmux$2200_CMP
  wire $techmap\murax.system_cpu.$procmux$2201_Y
  wire $techmap\murax.system_cpu.$procmux$2202_CMP
  wire $techmap\murax.system_cpu.$procmux$2203_Y
  wire $techmap\murax.system_cpu.$procmux$2204_CMP
  wire $techmap\murax.system_cpu.$procmux$2205_Y
  wire $techmap\murax.system_cpu.$procmux$2206_CMP
  wire $techmap\murax.system_cpu.$procmux$2207_Y
  wire $techmap\murax.system_cpu.$procmux$2208_CMP
  wire $techmap\murax.system_cpu.$procmux$2209_Y
  wire $techmap\murax.system_cpu.$procmux$2210_CMP
  wire width 32 $techmap\murax.system_cpu.$procmux$2211_Y
  wire $techmap\murax.system_cpu.$procmux$2212_CMP
  wire width 32 $techmap\murax.system_cpu.$procmux$2213_Y
  wire $techmap\murax.system_cpu.$procmux$2214_CMP
  wire width 2 $techmap\murax.system_cpu.$procmux$2215_Y
  wire $techmap\murax.system_cpu.$procmux$2216_CMP
  wire width 2 $techmap\murax.system_cpu.$procmux$2217_Y
  wire $techmap\murax.system_cpu.$procmux$2218_CMP
  wire $techmap\murax.system_cpu.$procmux$2219_Y
  wire $techmap\murax.system_cpu.$procmux$2220_CMP
  wire $techmap\murax.system_cpu.$procmux$2221_Y
  wire $techmap\murax.system_cpu.$procmux$2222_CMP
  wire $techmap\murax.system_cpu.$procmux$2223_Y
  wire $techmap\murax.system_cpu.$procmux$2224_CMP
  wire $techmap\murax.system_cpu.$procmux$2225_Y
  wire $techmap\murax.system_cpu.$procmux$2226_CMP
  wire $techmap\murax.system_cpu.$procmux$2227_Y
  wire $techmap\murax.system_cpu.$procmux$2228_CMP
  wire $techmap\murax.system_cpu.$procmux$2229_Y
  wire $techmap\murax.system_cpu.$procmux$2230_CMP
  wire $techmap\murax.system_cpu.$procmux$2231_Y
  wire $techmap\murax.system_cpu.$procmux$2232_CMP
  wire $techmap\murax.system_cpu.$procmux$2233_Y
  wire $techmap\murax.system_cpu.$procmux$2234_CMP
  wire $techmap\murax.system_cpu.$procmux$2236_Y
  wire $techmap\murax.system_cpu.$procmux$2237_CMP
  wire $techmap\murax.system_cpu.$procmux$2238_Y
  wire $techmap\murax.system_cpu.$procmux$2239_CMP
  wire $techmap\murax.system_cpu.$procmux$2240_Y
  wire $techmap\murax.system_cpu.$procmux$2241_CMP
  wire $techmap\murax.system_cpu.$procmux$2242_Y
  wire $techmap\murax.system_cpu.$procmux$2243_CMP
  wire $techmap\murax.system_cpu.$procmux$2244_Y
  wire $techmap\murax.system_cpu.$procmux$2245_CMP
  wire $techmap\murax.system_cpu.$procmux$2246_Y
  wire $techmap\murax.system_cpu.$procmux$2247_CMP
  wire width 2 $techmap\murax.system_cpu.$procmux$2248_Y
  wire $techmap\murax.system_cpu.$procmux$2249_CMP
  wire width 2 $techmap\murax.system_cpu.$procmux$2250_Y
  wire $techmap\murax.system_cpu.$procmux$2251_CMP
  wire width 32 $techmap\murax.system_cpu.$procmux$2252_Y
  wire $techmap\murax.system_cpu.$procmux$2253_CMP
  wire width 32 $techmap\murax.system_cpu.$procmux$2254_Y
  wire $techmap\murax.system_cpu.$procmux$2255_CMP
  wire width 32 $techmap\murax.system_cpu.$procmux$2256_Y
  wire $techmap\murax.system_cpu.$procmux$2257_CMP
  wire width 32 $techmap\murax.system_cpu.$procmux$2258_Y
  wire $techmap\murax.system_cpu.$procmux$2259_CMP
  wire width 32 $techmap\murax.system_cpu.$procmux$2260_Y
  wire $techmap\murax.system_cpu.$procmux$2261_CMP
  wire width 32 $techmap\murax.system_cpu.$procmux$2262_Y
  wire $techmap\murax.system_cpu.$procmux$2263_CMP
  wire width 32 $techmap\murax.system_cpu.$procmux$2264_Y
  wire $techmap\murax.system_cpu.$procmux$2265_CMP
  wire width 32 $techmap\murax.system_cpu.$procmux$2266_Y
  wire $techmap\murax.system_cpu.$procmux$2267_CMP
  wire width 2 $techmap\murax.system_cpu.$procmux$2268_Y
  wire $techmap\murax.system_cpu.$procmux$2269_CMP
  wire $techmap\murax.system_cpu.$procmux$2270_Y
  wire $techmap\murax.system_cpu.$procmux$2271_CMP
  wire $techmap\murax.system_cpu.$procmux$2272_Y
  wire $techmap\murax.system_cpu.$procmux$2273_CMP
  wire $techmap\murax.system_cpu.$procmux$2274_Y
  wire $techmap\murax.system_cpu.$procmux$2275_CMP
  wire width 2 $techmap\murax.system_cpu.$procmux$2276_Y
  wire $techmap\murax.system_cpu.$procmux$2277_CMP
  wire width 32 $techmap\murax.system_cpu.$procmux$2278_Y
  wire $techmap\murax.system_cpu.$procmux$2279_CMP
  wire $techmap\murax.system_cpu.$procmux$2280_Y
  wire $techmap\murax.system_cpu.$procmux$2281_CMP
  wire width 32 $techmap\murax.system_cpu.$procmux$2282_Y
  wire $techmap\murax.system_cpu.$procmux$2283_CMP
  wire $techmap\murax.system_cpu.$procmux$2284_Y
  wire $techmap\murax.system_cpu.$procmux$2285_CMP
  wire width 2 $techmap\murax.system_cpu.$procmux$2286_Y
  wire $techmap\murax.system_cpu.$procmux$2287_CMP
  wire $techmap\murax.system_cpu.$procmux$2288_Y
  wire $techmap\murax.system_cpu.$procmux$2289_CMP
  wire width 32 $techmap\murax.system_cpu.$procmux$2290_Y
  wire $techmap\murax.system_cpu.$procmux$2291_CMP
  wire width 32 $techmap\murax.system_cpu.$procmux$2292_Y
  wire $techmap\murax.system_cpu.$procmux$2293_CMP
  wire width 2 $techmap\murax.system_cpu.$procmux$2294_Y
  wire $techmap\murax.system_cpu.$procmux$2295_CMP
  wire width 2 $techmap\murax.system_cpu.$procmux$2296_Y
  wire $techmap\murax.system_cpu.$procmux$2297_CMP
  wire $techmap\murax.system_cpu.$procmux$2298_Y
  wire $techmap\murax.system_cpu.$procmux$2299_CMP
  wire $techmap\murax.system_cpu.$procmux$2300_Y
  wire $techmap\murax.system_cpu.$procmux$2301_CMP
  wire $techmap\murax.system_cpu.$procmux$2302_Y
  wire $techmap\murax.system_cpu.$procmux$2303_CMP
  wire width 32 $techmap\murax.system_cpu.$procmux$2304_Y
  wire $techmap\murax.system_cpu.$procmux$2305_CMP
  wire width 32 $techmap\murax.system_cpu.$procmux$2306_Y
  wire $techmap\murax.system_cpu.$procmux$2307_CMP
  wire width 2 $techmap\murax.system_cpu.$procmux$2308_Y
  wire $techmap\murax.system_cpu.$procmux$2309_CMP
  wire $techmap\murax.system_cpu.$procmux$2310_Y
  wire $techmap\murax.system_cpu.$procmux$2311_CMP
  wire width 32 $techmap\murax.system_cpu.$procmux$2312_Y
  wire $techmap\murax.system_cpu.$procmux$2313_CMP
  wire $techmap\murax.system_cpu.$procmux$2314_Y
  wire $techmap\murax.system_cpu.$procmux$2315_CMP
  wire $techmap\murax.system_cpu.$procmux$2316_Y
  wire $techmap\murax.system_cpu.$procmux$2317_CMP
  wire $techmap\murax.system_cpu.$procmux$2318_Y
  wire $techmap\murax.system_cpu.$procmux$2319_CMP
  wire $techmap\murax.system_cpu.$procmux$2320_Y
  wire $techmap\murax.system_cpu.$procmux$2321_CMP
  wire $techmap\murax.system_cpu.$procmux$2322_Y
  wire $techmap\murax.system_cpu.$procmux$2323_CMP
  wire width 32 $techmap\murax.system_cpu.$procmux$2324_Y
  wire $techmap\murax.system_cpu.$procmux$2325_CMP
  wire width 32 $techmap\murax.system_cpu.$procmux$2326_Y
  wire $techmap\murax.system_cpu.$procmux$2327_CMP
  wire width 32 $techmap\murax.system_cpu.$procmux$2328_Y
  wire $techmap\murax.system_cpu.$procmux$2329_CMP
  wire width 32 $techmap\murax.system_cpu.$procmux$2330_Y
  wire $techmap\murax.system_cpu.$procmux$2331_CMP
  wire width 32 $techmap\murax.system_cpu.$procmux$2332_Y
  wire $techmap\murax.system_cpu.$procmux$2333_CMP
  wire $techmap\murax.system_cpu.$procmux$2334_Y
  wire $techmap\murax.system_cpu.$procmux$2335_CMP
  wire width 5 $techmap\murax.system_cpu.$procmux$2336_Y
  wire $techmap\murax.system_cpu.$procmux$2337_CMP
  wire width 5 $techmap\murax.system_cpu.$procmux$2338_Y
  wire $techmap\murax.system_cpu.$procmux$2339_CMP
  wire $techmap\murax.system_cpu.$procmux$2340_Y
  wire $techmap\murax.system_cpu.$procmux$2341_CMP
  wire $techmap\murax.system_cpu.$procmux$2342_Y
  wire $techmap\murax.system_cpu.$procmux$2343_CMP
  wire width 64 $techmap\murax.system_cpu.$procmux$2344_Y
  wire $techmap\murax.system_cpu.$procmux$2345_CMP
  wire width 32 $techmap\murax.system_cpu.$procmux$2346_Y
  wire $techmap\murax.system_cpu.$procmux$2347_CMP
  wire width 4 $techmap\murax.system_cpu.$procmux$2348_Y
  wire $techmap\murax.system_cpu.$procmux$2349_CMP
  wire width 4 $techmap\murax.system_cpu.$procmux$2350_Y
  wire $techmap\murax.system_cpu.$procmux$2351_CMP
  wire $techmap\murax.system_cpu.$procmux$2352_Y
  wire $techmap\murax.system_cpu.$procmux$2353_CMP
  wire width 32 $techmap\murax.system_cpu.$procmux$2354_Y
  wire $techmap\murax.system_cpu.$procmux$2355_CMP
  wire width 32 $techmap\murax.system_cpu.$procmux$2356_Y
  wire $techmap\murax.system_cpu.$procmux$2357_CMP
  wire $techmap\murax.system_cpu.$procmux$2358_Y
  wire $techmap\murax.system_cpu.$procmux$2359_CMP
  wire width 32 $techmap\murax.system_cpu.$procmux$2360_Y
  wire $techmap\murax.system_cpu.$procmux$2361_CMP
  wire $techmap\murax.system_cpu.$procmux$2362_Y
  wire $techmap\murax.system_cpu.$procmux$2363_CMP
  wire $techmap\murax.system_cpu.$procmux$2364_Y
  wire $techmap\murax.system_cpu.$procmux$2365_CMP
  wire $techmap\murax.system_cpu.$procmux$2366_Y
  wire $techmap\murax.system_cpu.$procmux$2367_CMP
  wire $techmap\murax.system_cpu.$procmux$2368_Y
  wire $techmap\murax.system_cpu.$procmux$2369_CMP
  wire $techmap\murax.system_cpu.$procmux$2370_Y
  wire $techmap\murax.system_cpu.$procmux$2371_CMP
  wire $techmap\murax.system_cpu.$procmux$2372_Y
  wire $techmap\murax.system_cpu.$procmux$2373_CMP
  wire $techmap\murax.system_cpu.$procmux$2374_Y
  wire $techmap\murax.system_cpu.$procmux$2375_CMP
  wire $techmap\murax.system_cpu.$procmux$2376_Y
  wire $techmap\murax.system_cpu.$procmux$2377_CMP
  wire $techmap\murax.system_cpu.$procmux$2378_Y
  wire $techmap\murax.system_cpu.$procmux$2379_CMP
  wire $techmap\murax.system_cpu.$procmux$2380_Y
  wire $techmap\murax.system_cpu.$procmux$2381_CMP
  wire $techmap\murax.system_cpu.$procmux$2382_Y
  wire $techmap\murax.system_cpu.$procmux$2383_CMP
  wire $techmap\murax.system_cpu.$procmux$2384_Y
  wire $techmap\murax.system_cpu.$procmux$2385_CMP
  wire $techmap\murax.system_cpu.$procmux$2386_Y
  wire $techmap\murax.system_cpu.$procmux$2387_CMP
  wire $techmap\murax.system_cpu.$procmux$2388_Y
  wire $techmap\murax.system_cpu.$procmux$2389_CMP
  wire $techmap\murax.system_cpu.$procmux$2390_Y
  wire $techmap\murax.system_cpu.$procmux$2391_CMP
  wire $techmap\murax.system_cpu.$procmux$2392_Y
  wire $techmap\murax.system_cpu.$procmux$2393_CMP
  wire $techmap\murax.system_cpu.$procmux$2394_Y
  wire $techmap\murax.system_cpu.$procmux$2395_CMP
  wire $techmap\murax.system_cpu.$procmux$2396_Y
  wire $techmap\murax.system_cpu.$procmux$2397_CMP
  wire $techmap\murax.system_cpu.$procmux$2398_Y
  wire $techmap\murax.system_cpu.$procmux$2399_CMP
  wire $techmap\murax.system_cpu.$procmux$2400_Y
  wire $techmap\murax.system_cpu.$procmux$2401_CMP
  wire $techmap\murax.system_cpu.$procmux$2402_Y
  wire $techmap\murax.system_cpu.$procmux$2403_CMP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1983"
  wire width 4 $techmap\murax.system_cpu.$sshl$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1983$314_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2322"
  wire width 32 $techmap\murax.system_cpu.$sshl$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2322$399_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1505"
  wire width 33 $techmap\murax.system_cpu.$sshr$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1505$151_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1479"
  wire width 2 $techmap\murax.system_cpu.$sub$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1479$143_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2735"
  wire width 5 $techmap\murax.system_cpu.$sub$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2735$573_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1480"
  wire width 3 $techmap\murax.system_cpu.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1480$145_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1500"
  wire width 32 $techmap\murax.system_cpu.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1500$149_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1501"
  wire width 2 $techmap\murax.system_cpu.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1501$150_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1947"
  wire width 32 $techmap\murax.system_cpu.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1947$304_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2087"
  wire width 32 $techmap\murax.system_cpu.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2087$337_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2132"
  wire width 32 $techmap\murax.system_cpu.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2132$350_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2139"
  wire width 32 $techmap\murax.system_cpu.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2139$355_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2311"
  wire $techmap\murax.system_cpu.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2311$392_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2311"
  wire $techmap\murax.system_cpu.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2311$393_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2316"
  wire width 5 $techmap\murax.system_cpu.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2316$395_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2317"
  wire width 32 $techmap\murax.system_cpu.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2317$396_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2411"
  wire width 32 $techmap\murax.system_cpu.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2411$428_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2490"
  wire $techmap\murax.system_cpu.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2490$435_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2720"
  wire width 4 $techmap\murax.system_cpu.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2720$571_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2206"
  wire width 32 $techmap\murax.system_cpu.$xor$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2206$385_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4329|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3481"
  wire width 32 $techmap\murax.system_gpioACtrl.$0\_zz_1[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4329|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3461"
  wire width 32 $techmap\murax.system_gpioACtrl.$0\_zz_2[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4329|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3437"
  wire width 32 $techmap\murax.system_gpioACtrl.$0\io_apb_PRDATA[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4329|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3437"
  wire width 32 $techmap\murax.system_gpioACtrl.$1\io_apb_PRDATA[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4329|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3455"
  wire $techmap\murax.system_gpioACtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3455$728_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4329|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3455"
  wire $techmap\murax.system_gpioACtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3455$729_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4329|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3456"
  wire $techmap\murax.system_gpioACtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3456$730_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4329|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3456"
  wire $techmap\murax.system_gpioACtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3456$732_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4329|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3457"
  wire $techmap\murax.system_gpioACtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3457$733_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4329|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3457"
  wire $techmap\murax.system_gpioACtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3457$734_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4329|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3457"
  wire $techmap\murax.system_gpioACtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3457$735_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4329|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3458"
  wire $techmap\murax.system_gpioACtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3458$736_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4329|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3458"
  wire $techmap\murax.system_gpioACtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3458$737_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4329|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3458"
  wire $techmap\murax.system_gpioACtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3458$739_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4329|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3456"
  wire $techmap\murax.system_gpioACtrl.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3456$731_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4329|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3458"
  wire $techmap\murax.system_gpioACtrl.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3458$738_Y
  wire width 32 $techmap\murax.system_gpioACtrl.$procmux$849_Y
  wire $techmap\murax.system_gpioACtrl.$procmux$850_CMP
  wire $techmap\murax.system_gpioACtrl.$procmux$851_CMP
  wire $techmap\murax.system_gpioACtrl.$procmux$852_CMP
  wire width 32 $techmap\murax.system_gpioACtrl.$procmux$853_Y
  wire $techmap\murax.system_gpioACtrl.$procmux$854_CMP
  wire width 32 $techmap\murax.system_gpioACtrl.$procmux$855_Y
  wire $techmap\murax.system_gpioACtrl.$procmux$856_CMP
  wire width 32 $techmap\murax.system_gpioACtrl.$procmux$857_Y
  wire $techmap\murax.system_gpioACtrl.$procmux$858_CMP
  wire width 32 $techmap\murax.system_gpioACtrl.$procmux$859_Y
  wire $techmap\murax.system_gpioACtrl.$procmux$860_CMP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:860"
  wire width 4 $techmap\murax.system_mainBusArbiter.$0\_zz_1[3:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:846"
  wire $techmap\murax.system_mainBusArbiter.$0\_zz_2[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:846"
  wire $techmap\murax.system_mainBusArbiter.$0\io_dBus_cmd_ready[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:846"
  wire $techmap\murax.system_mainBusArbiter.$0\io_iBus_cmd_ready[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:881"
  wire $techmap\murax.system_mainBusArbiter.$0\rspPending[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:881"
  wire $techmap\murax.system_mainBusArbiter.$0\rspTarget[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:860"
  wire width 4 $techmap\murax.system_mainBusArbiter.$1\_zz_1[3:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:846"
  wire $techmap\murax.system_mainBusArbiter.$1\_zz_2[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:846"
  wire $techmap\murax.system_mainBusArbiter.$1\io_dBus_cmd_ready[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:846"
  wire $techmap\murax.system_mainBusArbiter.$1\io_iBus_cmd_ready[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:848"
  wire $techmap\murax.system_mainBusArbiter.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:848$118_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:850"
  wire $techmap\murax.system_mainBusArbiter.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:850$120_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:857"
  wire $techmap\murax.system_mainBusArbiter.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:857$121_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:875"
  wire $techmap\murax.system_mainBusArbiter.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:875$126_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:878"
  wire $techmap\murax.system_mainBusArbiter.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:878$127_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:889"
  wire $techmap\murax.system_mainBusArbiter.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:889$129_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:889"
  wire $techmap\murax.system_mainBusArbiter.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:889$131_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:848"
  wire $techmap\murax.system_mainBusArbiter.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:848$117_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:850"
  wire $techmap\murax.system_mainBusArbiter.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:850$119_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:875"
  wire $techmap\murax.system_mainBusArbiter.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:875$125_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:889"
  wire $techmap\murax.system_mainBusArbiter.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:889$130_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:847"
  wire $techmap\murax.system_mainBusArbiter.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:847$116_Y
  wire $techmap\murax.system_mainBusArbiter.$procmux$1253_Y
  wire $techmap\murax.system_mainBusArbiter.$procmux$1254_CMP
  wire $techmap\murax.system_mainBusArbiter.$procmux$1256_Y
  wire $techmap\murax.system_mainBusArbiter.$procmux$1257_CMP
  wire $techmap\murax.system_mainBusArbiter.$procmux$1259_Y
  wire $techmap\murax.system_mainBusArbiter.$procmux$1260_CMP
  wire width 4 $techmap\murax.system_mainBusArbiter.$procmux$1262_Y
  wire $techmap\murax.system_mainBusArbiter.$procmux$1263_CMP
  wire $techmap\murax.system_mainBusArbiter.$procmux$1264_CMP
  wire $techmap\murax.system_mainBusArbiter.$procmux$1265_Y
  wire $techmap\murax.system_mainBusArbiter.$procmux$1266_CMP
  wire $techmap\murax.system_mainBusArbiter.$procmux$1267_Y
  wire $techmap\murax.system_mainBusArbiter.$procmux$1268_CMP
  wire $techmap\murax.system_mainBusArbiter.$procmux$1269_Y
  wire $techmap\murax.system_mainBusArbiter.$procmux$1270_CMP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:874"
  wire width 4 $techmap\murax.system_mainBusArbiter.$sshl$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:874$124_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:858"
  wire width 32 $techmap\murax.system_mainBusArbiter.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:858$122_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3274"
  wire width 10 $techmap\murax.system_ram.$0$memwr$\ram_symbol0$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3276$674_ADDR[9:0]$680
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3274"
  wire width 8 $techmap\murax.system_ram.$0$memwr$\ram_symbol0$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3276$674_DATA[7:0]$681
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3274"
  wire width 8 $techmap\murax.system_ram.$0$memwr$\ram_symbol0$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3276$674_EN[7:0]$682
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3274"
  wire width 10 $techmap\murax.system_ram.$0$memwr$\ram_symbol1$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3279$675_ADDR[9:0]$683
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3274"
  wire width 8 $techmap\murax.system_ram.$0$memwr$\ram_symbol1$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3279$675_DATA[7:0]$684
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3274"
  wire width 8 $techmap\murax.system_ram.$0$memwr$\ram_symbol1$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3279$675_EN[7:0]$685
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3274"
  wire width 10 $techmap\murax.system_ram.$0$memwr$\ram_symbol2$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3282$676_ADDR[9:0]$686
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3274"
  wire width 8 $techmap\murax.system_ram.$0$memwr$\ram_symbol2$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3282$676_DATA[7:0]$687
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3274"
  wire width 8 $techmap\murax.system_ram.$0$memwr$\ram_symbol2$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3282$676_EN[7:0]$688
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3274"
  wire width 10 $techmap\murax.system_ram.$0$memwr$\ram_symbol3$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3285$677_ADDR[9:0]$689
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3274"
  wire width 8 $techmap\murax.system_ram.$0$memwr$\ram_symbol3$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3285$677_DATA[7:0]$690
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3274"
  wire width 8 $techmap\murax.system_ram.$0$memwr$\ram_symbol3$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3285$677_EN[7:0]$691
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3274"
  wire width 8 $techmap\murax.system_ram.$0\_zz_10[7:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3300"
  wire $techmap\murax.system_ram.$0\_zz_1[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3271"
  wire width 32 $techmap\murax.system_ram.$0\_zz_4[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3274"
  wire width 8 $techmap\murax.system_ram.$0\_zz_7[7:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3274"
  wire width 8 $techmap\murax.system_ram.$0\_zz_8[7:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3274"
  wire width 8 $techmap\murax.system_ram.$0\_zz_9[7:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3275"
  wire $techmap\murax.system_ram.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3275$692_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3275"
  wire $techmap\murax.system_ram.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3275$693_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3278"
  wire $techmap\murax.system_ram.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3278$694_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3278"
  wire $techmap\murax.system_ram.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3278$695_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3281"
  wire $techmap\murax.system_ram.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3281$696_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3281"
  wire $techmap\murax.system_ram.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3281$697_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3284"
  wire $techmap\murax.system_ram.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3284$698_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3284"
  wire $techmap\murax.system_ram.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3284$699_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3304"
  wire $techmap\murax.system_ram.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3304$706_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3304"
  wire $techmap\murax.system_ram.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3304$708_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3304"
  wire $techmap\murax.system_ram.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3304$707_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3288"
  wire width 8 $techmap\murax.system_ram.$memrd$\ram_symbol0$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3288$700_DATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3289"
  wire width 8 $techmap\murax.system_ram.$memrd$\ram_symbol1$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3289$701_DATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3290"
  wire width 8 $techmap\murax.system_ram.$memrd$\ram_symbol2$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3290$702_DATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3291"
  wire width 8 $techmap\murax.system_ram.$memrd$\ram_symbol3$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3291$703_DATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3276"
  wire width 10 $techmap\murax.system_ram.$memwr$\ram_symbol0$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3276$674_ADDR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3276"
  wire width 8 $techmap\murax.system_ram.$memwr$\ram_symbol0$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3276$674_DATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3276"
  wire width 8 $techmap\murax.system_ram.$memwr$\ram_symbol0$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3276$674_EN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3279"
  wire width 10 $techmap\murax.system_ram.$memwr$\ram_symbol1$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3279$675_ADDR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3279"
  wire width 8 $techmap\murax.system_ram.$memwr$\ram_symbol1$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3279$675_DATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3279"
  wire width 8 $techmap\murax.system_ram.$memwr$\ram_symbol1$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3279$675_EN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3282"
  wire width 10 $techmap\murax.system_ram.$memwr$\ram_symbol2$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3282$676_ADDR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3282"
  wire width 8 $techmap\murax.system_ram.$memwr$\ram_symbol2$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3282$676_DATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3282"
  wire width 8 $techmap\murax.system_ram.$memwr$\ram_symbol2$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3282$676_EN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3285"
  wire width 10 $techmap\murax.system_ram.$memwr$\ram_symbol3$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3285$677_ADDR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3285"
  wire width 8 $techmap\murax.system_ram.$memwr$\ram_symbol3$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3285$677_DATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3285"
  wire width 8 $techmap\murax.system_ram.$memwr$\ram_symbol3$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3285$677_EN
  wire width 8 $techmap\murax.system_ram.$procmux$1271_Y
  wire $techmap\murax.system_ram.$procmux$1272_CMP
  wire width 8 $techmap\murax.system_ram.$procmux$1273_Y
  wire $techmap\murax.system_ram.$procmux$1274_CMP
  wire width 10 $techmap\murax.system_ram.$procmux$1275_Y
  wire $techmap\murax.system_ram.$procmux$1276_CMP
  wire width 8 $techmap\murax.system_ram.$procmux$1277_Y
  wire $techmap\murax.system_ram.$procmux$1278_CMP
  wire width 8 $techmap\murax.system_ram.$procmux$1279_Y
  wire $techmap\murax.system_ram.$procmux$1280_CMP
  wire width 10 $techmap\murax.system_ram.$procmux$1281_Y
  wire $techmap\murax.system_ram.$procmux$1282_CMP
  wire width 8 $techmap\murax.system_ram.$procmux$1283_Y
  wire $techmap\murax.system_ram.$procmux$1284_CMP
  wire width 8 $techmap\murax.system_ram.$procmux$1285_Y
  wire $techmap\murax.system_ram.$procmux$1286_CMP
  wire width 10 $techmap\murax.system_ram.$procmux$1287_Y
  wire $techmap\murax.system_ram.$procmux$1288_CMP
  wire width 8 $techmap\murax.system_ram.$procmux$1289_Y
  wire $techmap\murax.system_ram.$procmux$1290_CMP
  wire width 8 $techmap\murax.system_ram.$procmux$1291_Y
  wire $techmap\murax.system_ram.$procmux$1292_CMP
  wire width 10 $techmap\murax.system_ram.$procmux$1293_Y
  wire $techmap\murax.system_ram.$procmux$1294_CMP
  wire width 8 $techmap\murax.system_ram.$procmux$1295_Y
  wire $techmap\murax.system_ram.$procmux$1296_CMP
  wire width 8 $techmap\murax.system_ram.$procmux$1297_Y
  wire $techmap\murax.system_ram.$procmux$1298_CMP
  wire width 8 $techmap\murax.system_ram.$procmux$1299_Y
  wire $techmap\murax.system_ram.$procmux$1300_CMP
  wire width 8 $techmap\murax.system_ram.$procmux$1301_Y
  wire $techmap\murax.system_ram.$procmux$1302_CMP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3296"
  wire width 32 $techmap\murax.system_ram.$sshr$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3296$704_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3843"
  wire width 2 $techmap\murax.system_timer.$0\_zz_14[1:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3753"
  wire width 2 $techmap\murax.system_timer.$0\_zz_15[1:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3893"
  wire width 16 $techmap\murax.system_timer.$0\_zz_1[15:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3753"
  wire $techmap\murax.system_timer.$0\_zz_2[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3893"
  wire width 16 $techmap\murax.system_timer.$0\_zz_3[15:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3753"
  wire $techmap\murax.system_timer.$0\_zz_4[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3753"
  wire $techmap\murax.system_timer.$0\_zz_5[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3893"
  wire width 16 $techmap\murax.system_timer.$0\_zz_6[15:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3753"
  wire $techmap\murax.system_timer.$0\_zz_7[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3753"
  wire $techmap\murax.system_timer.$0\_zz_8[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3849"
  wire width 2 $techmap\murax.system_timer.$0\_zz_9[1:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3753"
  wire width 32 $techmap\murax.system_timer.$0\io_apb_PRDATA[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3819"
  wire $techmap\murax.system_timer.$0\timerABridge_busClearing[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3849"
  wire $techmap\murax.system_timer.$0\timerABridge_clearsEnable[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3849"
  wire width 2 $techmap\murax.system_timer.$0\timerABridge_ticksEnable[1:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3831"
  wire $techmap\murax.system_timer.$0\timerBBridge_busClearing[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3849"
  wire $techmap\murax.system_timer.$0\timerBBridge_clearsEnable[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3849"
  wire width 2 $techmap\murax.system_timer.$0\timerBBridge_ticksEnable[1:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3753"
  wire width 2 $techmap\murax.system_timer.$1\_zz_15[1:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3753"
  wire $techmap\murax.system_timer.$1\_zz_2[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3753"
  wire $techmap\murax.system_timer.$1\_zz_4[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3753"
  wire $techmap\murax.system_timer.$1\_zz_5[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3753"
  wire $techmap\murax.system_timer.$1\_zz_7[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3753"
  wire $techmap\murax.system_timer.$1\_zz_8[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3753"
  wire width 17 $techmap\murax.system_timer.$1\io_apb_PRDATA[16:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3819"
  wire $techmap\murax.system_timer.$1\timerABridge_busClearing[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3831"
  wire $techmap\murax.system_timer.$1\timerBBridge_busClearing[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3753"
  wire width 2 $techmap\murax.system_timer.$2\_zz_15[1:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3753"
  wire $techmap\murax.system_timer.$2\_zz_2[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3753"
  wire $techmap\murax.system_timer.$2\_zz_4[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3753"
  wire $techmap\murax.system_timer.$2\_zz_5[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3753"
  wire $techmap\murax.system_timer.$2\_zz_7[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3753"
  wire $techmap\murax.system_timer.$2\_zz_8[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3819"
  wire $techmap\murax.system_timer.$2\timerABridge_busClearing[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3831"
  wire $techmap\murax.system_timer.$2\timerBBridge_busClearing[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3829"
  wire $techmap\murax.system_timer.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3829$782_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3830"
  wire width 2 $techmap\murax.system_timer.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3830$785_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3841"
  wire $techmap\murax.system_timer.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3841$788_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3842"
  wire width 2 $techmap\murax.system_timer.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3842$791_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3815"
  wire $techmap\murax.system_timer.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3815$769_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3815"
  wire $techmap\murax.system_timer.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3815$770_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3816"
  wire $techmap\murax.system_timer.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3816$771_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3816"
  wire $techmap\murax.system_timer.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3816$773_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3817"
  wire $techmap\murax.system_timer.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3817$774_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3817"
  wire $techmap\murax.system_timer.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3817$775_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3817"
  wire $techmap\murax.system_timer.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3817$776_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3818"
  wire $techmap\murax.system_timer.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3818$777_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3818"
  wire $techmap\murax.system_timer.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3818$778_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3818"
  wire $techmap\murax.system_timer.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3818$780_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3816"
  wire $techmap\murax.system_timer.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3816$772_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3818"
  wire $techmap\murax.system_timer.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3818$779_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3829"
  wire $techmap\murax.system_timer.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3829$784_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3841"
  wire $techmap\murax.system_timer.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3841$790_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3829"
  wire $techmap\murax.system_timer.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3829$783_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3830"
  wire $techmap\murax.system_timer.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3830$786_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3841"
  wire $techmap\murax.system_timer.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3841$789_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3842"
  wire $techmap\murax.system_timer.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3842$792_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3848"
  wire $techmap\murax.system_timer.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3848$794_Y
  wire $techmap\murax.system_timer.$procmux$1072_Y
  wire $techmap\murax.system_timer.$procmux$1073_CMP
  wire $techmap\murax.system_timer.$procmux$1074_CMP
  wire width 2 $techmap\murax.system_timer.$procmux$1076_Y
  wire $techmap\murax.system_timer.$procmux$1077_CMP
  wire $techmap\murax.system_timer.$procmux$1078_CMP
  wire $techmap\murax.system_timer.$procmux$1079_CMP
  wire $techmap\murax.system_timer.$procmux$1080_CMP
  wire $techmap\murax.system_timer.$procmux$1081_CMP
  wire $techmap\murax.system_timer.$procmux$1082_CMP
  wire $techmap\murax.system_timer.$procmux$1083_CMP
  wire $techmap\murax.system_timer.$procmux$1084_CMP
  wire $techmap\murax.system_timer.$procmux$1085_CMP
  wire $techmap\murax.system_timer.$procmux$1090_Y
  wire $techmap\murax.system_timer.$procmux$1091_CMP
  wire $techmap\murax.system_timer.$procmux$1092_Y
  wire $techmap\murax.system_timer.$procmux$1093_CMP
  wire width 14 $techmap\murax.system_timer.$procmux$1097_Y
  wire $techmap\murax.system_timer.$procmux$1098_CMP
  wire $techmap\murax.system_timer.$procmux$1099_CMP
  wire $techmap\murax.system_timer.$procmux$1100_CMP
  wire $techmap\murax.system_timer.$procmux$1101_CMP
  wire $techmap\murax.system_timer.$procmux$1102_CMP
  wire $techmap\murax.system_timer.$procmux$1108_Y
  wire $techmap\murax.system_timer.$procmux$1109_CMP
  wire $techmap\murax.system_timer.$procmux$1110_Y
  wire $techmap\murax.system_timer.$procmux$1111_CMP
  wire $techmap\murax.system_timer.$procmux$1119_Y
  wire $techmap\murax.system_timer.$procmux$1120_CMP
  wire $techmap\murax.system_timer.$procmux$1121_Y
  wire $techmap\murax.system_timer.$procmux$1122_CMP
  wire $techmap\murax.system_timer.$procmux$1131_Y
  wire $techmap\murax.system_timer.$procmux$1132_CMP
  wire $techmap\murax.system_timer.$procmux$1133_Y
  wire $techmap\murax.system_timer.$procmux$1134_CMP
  wire $techmap\murax.system_timer.$procmux$1145_Y
  wire $techmap\murax.system_timer.$procmux$1146_CMP
  wire $techmap\murax.system_timer.$procmux$1147_Y
  wire $techmap\murax.system_timer.$procmux$1148_CMP
  wire $techmap\murax.system_timer.$procmux$1158_Y
  wire $techmap\murax.system_timer.$procmux$1159_CMP
  wire width 2 $techmap\murax.system_timer.$procmux$1163_Y
  wire $techmap\murax.system_timer.$procmux$1164_CMP
  wire width 2 $techmap\murax.system_timer.$procmux$1165_Y
  wire $techmap\murax.system_timer.$procmux$1166_CMP
  wire width 2 $techmap\murax.system_timer.$procmux$1169_Y
  wire $techmap\murax.system_timer.$procmux$1170_CMP
  wire $techmap\murax.system_timer.$procmux$1174_Y
  wire $techmap\murax.system_timer.$procmux$1175_CMP
  wire $techmap\murax.system_timer.$procmux$1180_Y
  wire $techmap\murax.system_timer.$procmux$1181_CMP
  wire $techmap\murax.system_timer.$procmux$1188_Y
  wire $techmap\murax.system_timer.$procmux$1189_CMP
  wire $techmap\murax.system_timer.$procmux$1197_Y
  wire $techmap\murax.system_timer.$procmux$1198_CMP
  wire $techmap\murax.system_timer.$procmux$1200_Y
  wire $techmap\murax.system_timer.$procmux$1201_CMP
  wire $techmap\murax.system_timer.$procmux$1203_Y
  wire $techmap\murax.system_timer.$procmux$1204_CMP
  wire $techmap\murax.system_timer.$procmux$1206_Y
  wire $techmap\murax.system_timer.$procmux$1207_CMP
  wire $techmap\murax.system_timer.$procmux$1209_Y
  wire $techmap\murax.system_timer.$procmux$1210_CMP
  wire $techmap\murax.system_timer.$procmux$1212_Y
  wire $techmap\murax.system_timer.$procmux$1213_CMP
  wire $techmap\murax.system_timer.$procmux$1214_Y
  wire $techmap\murax.system_timer.$procmux$1215_CMP
  wire width 2 $techmap\murax.system_timer.$procmux$1217_Y
  wire $techmap\murax.system_timer.$procmux$1218_CMP
  wire width 2 $techmap\murax.system_timer.$procmux$1219_Y
  wire $techmap\murax.system_timer.$procmux$1220_CMP
  wire $techmap\murax.system_timer.$procmux$1223_Y
  wire $techmap\murax.system_timer.$procmux$1224_CMP
  wire $techmap\murax.system_timer.$procmux$1225_Y
  wire $techmap\murax.system_timer.$procmux$1226_CMP
  wire width 2 $techmap\murax.system_timer.$procmux$1229_Y
  wire $techmap\murax.system_timer.$procmux$1230_CMP
  wire width 2 $techmap\murax.system_timer.$procmux$1231_Y
  wire $techmap\murax.system_timer.$procmux$1232_CMP
  wire width 2 $techmap\murax.system_timer.$procmux$1233_Y
  wire $techmap\murax.system_timer.$procmux$1234_CMP
  wire width 2 $techmap\murax.system_timer.$procmux$1235_Y
  wire $techmap\murax.system_timer.$procmux$1236_CMP
  wire width 16 $techmap\murax.system_timer.$procmux$1239_Y
  wire $techmap\murax.system_timer.$procmux$1240_CMP
  wire width 16 $techmap\murax.system_timer.$procmux$1241_Y
  wire $techmap\murax.system_timer.$procmux$1242_CMP
  wire width 16 $techmap\murax.system_timer.$procmux$1243_Y
  wire $techmap\murax.system_timer.$procmux$1244_CMP
  wire width 16 $techmap\murax.system_timer.$procmux$1245_Y
  wire $techmap\murax.system_timer.$procmux$1246_CMP
  wire width 16 $techmap\murax.system_timer.$procmux$1248_Y
  wire $techmap\murax.system_timer.$procmux$1249_CMP
  wire width 16 $techmap\murax.system_timer.$procmux$1250_Y
  wire $techmap\murax.system_timer.$procmux$1251_CMP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3744|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:789"
  wire width 2 $techmap\murax.system_timer.interruptCtrl_1.$0\pendings[1:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3744|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:788"
  wire width 2 $techmap\murax.system_timer.interruptCtrl_1.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:788$109_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3744|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:793"
  wire width 2 $techmap\murax.system_timer.interruptCtrl_1.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:793$112_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3744|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:793"
  wire width 2 $techmap\murax.system_timer.interruptCtrl_1.$not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:793$111_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3744|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:793"
  wire width 2 $techmap\murax.system_timer.interruptCtrl_1.$or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:793$113_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3719|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:726"
  wire width 16 $techmap\murax.system_timer.prescaler_1.$0\counter[15:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3719|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:727"
  wire width 16 $techmap\murax.system_timer.prescaler_1.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:727$99_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3719|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:725"
  wire $techmap\murax.system_timer.prescaler_1.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:725$97_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3719|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:728"
  wire $techmap\murax.system_timer.prescaler_1.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:728$100_Y
  wire width 16 $techmap\murax.system_timer.prescaler_1.$procmux$1340_Y
  wire $techmap\murax.system_timer.prescaler_1.$procmux$1341_CMP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3726|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:766"
  wire width 16 $techmap\murax.system_timer.timerA.$0\counter[15:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3726|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:753"
  wire $techmap\murax.system_timer.timerA.$0\inhibitFull[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3726|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:768"
  wire width 16 $techmap\murax.system_timer.timerA.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:768$108_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3726|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:750"
  wire $techmap\murax.system_timer.timerA.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:750$102_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3726|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:751"
  wire $techmap\murax.system_timer.timerA.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:751$103_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3726|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:751"
  wire $techmap\murax.system_timer.timerA.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:751$105_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3726|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:748"
  wire $techmap\murax.system_timer.timerA.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:748$101_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3726|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:751"
  wire $techmap\murax.system_timer.timerA.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:751$104_Y
  wire $techmap\murax.system_timer.timerA.$procmux$1407_Y
  wire $techmap\murax.system_timer.timerA.$procmux$1408_CMP
  wire $techmap\murax.system_timer.timerA.$procmux$1409_Y
  wire $techmap\murax.system_timer.timerA.$procmux$1410_CMP
  wire width 16 $techmap\murax.system_timer.timerA.$procmux$1411_Y
  wire $techmap\murax.system_timer.timerA.$procmux$1412_CMP
  wire width 16 $techmap\murax.system_timer.timerA.$procmux$1413_Y
  wire $techmap\murax.system_timer.timerA.$procmux$1414_CMP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3735|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:766"
  wire width 16 $techmap\murax.system_timer.timerB.$0\counter[15:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3735|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:753"
  wire $techmap\murax.system_timer.timerB.$0\inhibitFull[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3735|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:768"
  wire width 16 $techmap\murax.system_timer.timerB.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:768$108_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3735|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:750"
  wire $techmap\murax.system_timer.timerB.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:750$102_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3735|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:751"
  wire $techmap\murax.system_timer.timerB.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:751$103_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3735|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:751"
  wire $techmap\murax.system_timer.timerB.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:751$105_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3735|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:748"
  wire $techmap\murax.system_timer.timerB.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:748$101_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3735|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:751"
  wire $techmap\murax.system_timer.timerB.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:751$104_Y
  wire $techmap\murax.system_timer.timerB.$procmux$1407_Y
  wire $techmap\murax.system_timer.timerB.$procmux$1408_CMP
  wire $techmap\murax.system_timer.timerB.$procmux$1409_Y
  wire $techmap\murax.system_timer.timerB.$procmux$1410_CMP
  wire width 16 $techmap\murax.system_timer.timerB.$procmux$1411_Y
  wire $techmap\murax.system_timer.timerB.$procmux$1412_CMP
  wire width 16 $techmap\murax.system_timer.timerB.$procmux$1413_Y
  wire $techmap\murax.system_timer.timerB.$procmux$1414_CMP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3551"
  wire width 20 $techmap\murax.system_uartCtrl.$0$func$\zz_bridge_uartConfigReg_clockDivider$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3637$742$\zz_bridge_uartConfigReg_clockDivider[19:0]$765
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3551"
  wire $techmap\murax.system_uartCtrl.$0$func$\zz_bridge_uartConfigReg_clockDivider$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3637$743$\dummy[0:0]$767
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3551"
  wire width 20 $techmap\murax.system_uartCtrl.$0$func$\zz_bridge_uartConfigReg_clockDivider$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3637$743$\zz_bridge_uartConfigReg_clockDivider[19:0]$766
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3605"
  wire $techmap\murax.system_uartCtrl.$0\_zz_1[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3605"
  wire $techmap\murax.system_uartCtrl.$0\_zz_4[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3652"
  wire $techmap\murax.system_uartCtrl.$0\bridge_interruptCtrl_readIntEnable[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3652"
  wire $techmap\murax.system_uartCtrl.$0\bridge_interruptCtrl_writeIntEnable[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3638"
  wire width 20 $techmap\murax.system_uartCtrl.$0\bridge_uartConfigReg_clockDivider[19:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3605"
  wire width 32 $techmap\murax.system_uartCtrl.$0\io_apb_PRDATA[31:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3605"
  wire $techmap\murax.system_uartCtrl.$1\_zz_1[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3605"
  wire $techmap\murax.system_uartCtrl.$1\_zz_4[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3605"
  wire width 10 $techmap\murax.system_uartCtrl.$1\io_apb_PRDATA[9:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3605"
  wire $techmap\murax.system_uartCtrl.$2\_zz_1[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3605"
  wire $techmap\murax.system_uartCtrl.$2\_zz_4[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3605"
  wire width 5 $techmap\murax.system_uartCtrl.$2\io_apb_PRDATA[20:16]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3605"
  wire width 5 $techmap\murax.system_uartCtrl.$3\io_apb_PRDATA[28:24]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3551"
  wire width 20 $techmap\murax.system_uartCtrl.$func$\zz_bridge_uartConfigReg_clockDivider$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3637$742$\zz_bridge_uartConfigReg_clockDivider
  attribute \nosync 1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3551"
  wire $techmap\murax.system_uartCtrl.$func$\zz_bridge_uartConfigReg_clockDivider$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3637$743$\dummy
  attribute \nosync 1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3551"
  wire width 20 $techmap\murax.system_uartCtrl.$func$\zz_bridge_uartConfigReg_clockDivider$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3637$743$\zz_bridge_uartConfigReg_clockDivider
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3633"
  wire $techmap\murax.system_uartCtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3633$746_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3633"
  wire $techmap\murax.system_uartCtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3633$747_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3634"
  wire $techmap\murax.system_uartCtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3634$748_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3634"
  wire $techmap\murax.system_uartCtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3634$750_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3635"
  wire $techmap\murax.system_uartCtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3635$751_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3635"
  wire $techmap\murax.system_uartCtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3635$752_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3635"
  wire $techmap\murax.system_uartCtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3635$753_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3636"
  wire $techmap\murax.system_uartCtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3636$754_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3636"
  wire $techmap\murax.system_uartCtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3636$755_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3636"
  wire $techmap\murax.system_uartCtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3636$757_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3645"
  wire $techmap\murax.system_uartCtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3645$759_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3646"
  wire $techmap\murax.system_uartCtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3646$761_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3634"
  wire $techmap\murax.system_uartCtrl.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3634$749_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3636"
  wire $techmap\murax.system_uartCtrl.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3636$756_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3646"
  wire $techmap\murax.system_uartCtrl.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3646$760_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3647"
  wire $techmap\murax.system_uartCtrl.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3647$762_Y
  wire width 4 $techmap\murax.system_uartCtrl.$procmux$874_Y
  wire $techmap\murax.system_uartCtrl.$procmux$875_CMP
  wire width 6 $techmap\murax.system_uartCtrl.$procmux$878_Y
  wire $techmap\murax.system_uartCtrl.$procmux$879_CMP
  wire $techmap\murax.system_uartCtrl.$procmux$881_Y
  wire $techmap\murax.system_uartCtrl.$procmux$882_CMP
  wire $techmap\murax.system_uartCtrl.$procmux$884_Y
  wire $techmap\murax.system_uartCtrl.$procmux$885_CMP
  wire $techmap\murax.system_uartCtrl.$procmux$886_CMP
  wire $techmap\murax.system_uartCtrl.$procmux$890_Y
  wire $techmap\murax.system_uartCtrl.$procmux$891_CMP
  wire $techmap\murax.system_uartCtrl.$procmux$892_Y
  wire $techmap\murax.system_uartCtrl.$procmux$893_CMP
  wire $techmap\murax.system_uartCtrl.$procmux$897_Y
  wire $techmap\murax.system_uartCtrl.$procmux$898_CMP
  wire $techmap\murax.system_uartCtrl.$procmux$899_Y
  wire $techmap\murax.system_uartCtrl.$procmux$900_CMP
  wire $techmap\murax.system_uartCtrl.$procmux$902_Y
  wire $techmap\murax.system_uartCtrl.$procmux$903_CMP
  wire $techmap\murax.system_uartCtrl.$procmux$904_CMP
  wire $techmap\murax.system_uartCtrl.$procmux$907_Y
  wire $techmap\murax.system_uartCtrl.$procmux$908_CMP
  wire $techmap\murax.system_uartCtrl.$procmux$911_Y
  wire $techmap\murax.system_uartCtrl.$procmux$912_CMP
  wire width 5 $techmap\murax.system_uartCtrl.$procmux$914_Y
  wire $techmap\murax.system_uartCtrl.$procmux$915_CMP
  wire $techmap\murax.system_uartCtrl.$procmux$917_Y
  wire $techmap\murax.system_uartCtrl.$procmux$918_CMP
  wire $techmap\murax.system_uartCtrl.$procmux$920_Y
  wire $techmap\murax.system_uartCtrl.$procmux$921_CMP
  wire $techmap\murax.system_uartCtrl.$procmux$922_CMP
  wire $techmap\murax.system_uartCtrl.$procmux$923_Y
  wire $techmap\murax.system_uartCtrl.$procmux$924_CMP
  wire $techmap\murax.system_uartCtrl.$procmux$925_Y
  wire $techmap\murax.system_uartCtrl.$procmux$926_CMP
  wire $techmap\murax.system_uartCtrl.$procmux$927_Y
  wire $techmap\murax.system_uartCtrl.$procmux$928_CMP
  wire $techmap\murax.system_uartCtrl.$procmux$929_Y
  wire $techmap\murax.system_uartCtrl.$procmux$930_CMP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3561"
  wire width 5 $techmap\murax.system_uartCtrl.$sub$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3561$744_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:625"
  wire width 4 $techmap\murax.system_uartCtrl.streamFifo_2.$0$memwr$\ram$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:627$58_ADDR[3:0]$61
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:625"
  wire width 8 $techmap\murax.system_uartCtrl.streamFifo_2.$0$memwr$\ram$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:627$58_DATA[7:0]$62
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:625"
  wire width 8 $techmap\murax.system_uartCtrl.streamFifo_2.$0$memwr$\ram$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:627$58_EN[7:0]$63
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:637"
  wire $techmap\murax.system_uartCtrl.streamFifo_2.$0\_zz_1[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:692"
  wire $techmap\murax.system_uartCtrl.streamFifo_2.$0\_zz_2[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:631"
  wire width 8 $techmap\murax.system_uartCtrl.streamFifo_2.$0\_zz_4[7:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:673"
  wire width 4 $techmap\murax.system_uartCtrl.streamFifo_2.$0\popPtr_valueNext[3:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:692"
  wire width 4 $techmap\murax.system_uartCtrl.streamFifo_2.$0\popPtr_value[3:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:646"
  wire $techmap\murax.system_uartCtrl.streamFifo_2.$0\popPtr_willClear[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:664"
  wire $techmap\murax.system_uartCtrl.streamFifo_2.$0\popPtr_willIncrement[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:657"
  wire width 4 $techmap\murax.system_uartCtrl.streamFifo_2.$0\pushPtr_valueNext[3:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:692"
  wire width 4 $techmap\murax.system_uartCtrl.streamFifo_2.$0\pushPtr_value[3:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:646"
  wire $techmap\murax.system_uartCtrl.streamFifo_2.$0\pushPtr_willClear[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:637"
  wire $techmap\murax.system_uartCtrl.streamFifo_2.$0\pushPtr_willIncrement[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:692"
  wire $techmap\murax.system_uartCtrl.streamFifo_2.$0\risingOccupancy[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:637"
  wire $techmap\murax.system_uartCtrl.streamFifo_2.$1\_zz_1[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:673"
  wire width 4 $techmap\murax.system_uartCtrl.streamFifo_2.$1\popPtr_valueNext[3:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:646"
  wire $techmap\murax.system_uartCtrl.streamFifo_2.$1\popPtr_willClear[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:664"
  wire $techmap\murax.system_uartCtrl.streamFifo_2.$1\popPtr_willIncrement[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:657"
  wire width 4 $techmap\murax.system_uartCtrl.streamFifo_2.$1\pushPtr_valueNext[3:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:646"
  wire $techmap\murax.system_uartCtrl.streamFifo_2.$1\pushPtr_willClear[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:637"
  wire $techmap\murax.system_uartCtrl.streamFifo_2.$1\pushPtr_willIncrement[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:658"
  wire width 4 $techmap\murax.system_uartCtrl.streamFifo_2.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:658$71_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:674"
  wire width 4 $techmap\murax.system_uartCtrl.streamFifo_2.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:674$76_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:655"
  wire $techmap\murax.system_uartCtrl.streamFifo_2.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:655$68_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:671"
  wire $techmap\murax.system_uartCtrl.streamFifo_2.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:671$73_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:680"
  wire $techmap\murax.system_uartCtrl.streamFifo_2.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:680$77_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:701"
  wire $techmap\murax.system_uartCtrl.streamFifo_2.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:701$94_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:656"
  wire $techmap\murax.system_uartCtrl.streamFifo_2.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:656$69_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:672"
  wire $techmap\murax.system_uartCtrl.streamFifo_2.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:672$74_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:681"
  wire $techmap\murax.system_uartCtrl.streamFifo_2.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:681$78_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:682"
  wire $techmap\murax.system_uartCtrl.streamFifo_2.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:682$79_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:683"
  wire $techmap\murax.system_uartCtrl.streamFifo_2.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:683$81_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:684"
  wire $techmap\murax.system_uartCtrl.streamFifo_2.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:684$82_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:686"
  wire $techmap\murax.system_uartCtrl.streamFifo_2.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:686$86_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:686"
  wire $techmap\murax.system_uartCtrl.streamFifo_2.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:686$88_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:690"
  wire $techmap\murax.system_uartCtrl.streamFifo_2.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:690$90_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:691"
  wire $techmap\murax.system_uartCtrl.streamFifo_2.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:691$92_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:683"
  wire $techmap\murax.system_uartCtrl.streamFifo_2.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:683$80_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:685"
  wire $techmap\murax.system_uartCtrl.streamFifo_2.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:685$83_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:686"
  wire $techmap\murax.system_uartCtrl.streamFifo_2.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:686$84_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:686"
  wire $techmap\murax.system_uartCtrl.streamFifo_2.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:686$85_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:686"
  wire $techmap\murax.system_uartCtrl.streamFifo_2.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:686$87_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:691"
  wire $techmap\murax.system_uartCtrl.streamFifo_2.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:691$91_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:633"
  wire width 8 $techmap\murax.system_uartCtrl.streamFifo_2.$memrd$\ram$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:633$65_DATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:627"
  wire width 4 $techmap\murax.system_uartCtrl.streamFifo_2.$memwr$\ram$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:627$58_ADDR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:627"
  wire width 8 $techmap\murax.system_uartCtrl.streamFifo_2.$memwr$\ram$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:627$58_DATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:627"
  wire width 8 $techmap\murax.system_uartCtrl.streamFifo_2.$memwr$\ram$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:627$58_EN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:702"
  wire $techmap\murax.system_uartCtrl.streamFifo_2.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:702$95_Y
  wire width 8 $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1342_Y
  wire $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1343_CMP
  wire width 8 $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1344_Y
  wire $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1345_CMP
  wire width 4 $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1346_Y
  wire $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1347_CMP
  wire width 8 $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1348_Y
  wire $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1349_CMP
  wire $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1351_Y
  wire $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1352_CMP
  wire $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1354_Y
  wire $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1355_CMP
  wire $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1357_Y
  wire $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1358_CMP
  wire $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1360_Y
  wire $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1361_CMP
  wire width 4 $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1363_Y
  wire $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1364_CMP
  wire $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1366_Y
  wire $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1367_CMP
  wire width 4 $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1369_Y
  wire $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1370_CMP
  wire $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1371_Y
  wire $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1372_CMP
  wire $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1373_Y
  wire $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1374_CMP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:624"
  wire width 4 $techmap\murax.system_uartCtrl.streamFifo_2.$sub$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:624$59_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:689"
  wire width 4 $techmap\murax.system_uartCtrl.streamFifo_2.$sub$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:689$89_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:625"
  wire width 4 $techmap\murax.system_uartCtrl.streamFifo_3.$0$memwr$\ram$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:627$58_ADDR[3:0]$61
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:625"
  wire width 8 $techmap\murax.system_uartCtrl.streamFifo_3.$0$memwr$\ram$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:627$58_DATA[7:0]$62
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:625"
  wire width 8 $techmap\murax.system_uartCtrl.streamFifo_3.$0$memwr$\ram$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:627$58_EN[7:0]$63
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:637"
  wire $techmap\murax.system_uartCtrl.streamFifo_3.$0\_zz_1[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:692"
  wire $techmap\murax.system_uartCtrl.streamFifo_3.$0\_zz_2[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:631"
  wire width 8 $techmap\murax.system_uartCtrl.streamFifo_3.$0\_zz_4[7:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:673"
  wire width 4 $techmap\murax.system_uartCtrl.streamFifo_3.$0\popPtr_valueNext[3:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:692"
  wire width 4 $techmap\murax.system_uartCtrl.streamFifo_3.$0\popPtr_value[3:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:646"
  wire $techmap\murax.system_uartCtrl.streamFifo_3.$0\popPtr_willClear[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:664"
  wire $techmap\murax.system_uartCtrl.streamFifo_3.$0\popPtr_willIncrement[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:657"
  wire width 4 $techmap\murax.system_uartCtrl.streamFifo_3.$0\pushPtr_valueNext[3:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:692"
  wire width 4 $techmap\murax.system_uartCtrl.streamFifo_3.$0\pushPtr_value[3:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:646"
  wire $techmap\murax.system_uartCtrl.streamFifo_3.$0\pushPtr_willClear[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:637"
  wire $techmap\murax.system_uartCtrl.streamFifo_3.$0\pushPtr_willIncrement[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:692"
  wire $techmap\murax.system_uartCtrl.streamFifo_3.$0\risingOccupancy[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:637"
  wire $techmap\murax.system_uartCtrl.streamFifo_3.$1\_zz_1[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:673"
  wire width 4 $techmap\murax.system_uartCtrl.streamFifo_3.$1\popPtr_valueNext[3:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:646"
  wire $techmap\murax.system_uartCtrl.streamFifo_3.$1\popPtr_willClear[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:664"
  wire $techmap\murax.system_uartCtrl.streamFifo_3.$1\popPtr_willIncrement[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:657"
  wire width 4 $techmap\murax.system_uartCtrl.streamFifo_3.$1\pushPtr_valueNext[3:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:646"
  wire $techmap\murax.system_uartCtrl.streamFifo_3.$1\pushPtr_willClear[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:637"
  wire $techmap\murax.system_uartCtrl.streamFifo_3.$1\pushPtr_willIncrement[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:658"
  wire width 4 $techmap\murax.system_uartCtrl.streamFifo_3.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:658$71_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:674"
  wire width 4 $techmap\murax.system_uartCtrl.streamFifo_3.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:674$76_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:655"
  wire $techmap\murax.system_uartCtrl.streamFifo_3.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:655$68_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:671"
  wire $techmap\murax.system_uartCtrl.streamFifo_3.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:671$73_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:680"
  wire $techmap\murax.system_uartCtrl.streamFifo_3.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:680$77_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:701"
  wire $techmap\murax.system_uartCtrl.streamFifo_3.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:701$94_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:656"
  wire $techmap\murax.system_uartCtrl.streamFifo_3.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:656$69_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:672"
  wire $techmap\murax.system_uartCtrl.streamFifo_3.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:672$74_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:681"
  wire $techmap\murax.system_uartCtrl.streamFifo_3.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:681$78_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:682"
  wire $techmap\murax.system_uartCtrl.streamFifo_3.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:682$79_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:683"
  wire $techmap\murax.system_uartCtrl.streamFifo_3.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:683$81_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:684"
  wire $techmap\murax.system_uartCtrl.streamFifo_3.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:684$82_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:686"
  wire $techmap\murax.system_uartCtrl.streamFifo_3.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:686$86_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:686"
  wire $techmap\murax.system_uartCtrl.streamFifo_3.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:686$88_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:690"
  wire $techmap\murax.system_uartCtrl.streamFifo_3.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:690$90_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:691"
  wire $techmap\murax.system_uartCtrl.streamFifo_3.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:691$92_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:683"
  wire $techmap\murax.system_uartCtrl.streamFifo_3.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:683$80_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:685"
  wire $techmap\murax.system_uartCtrl.streamFifo_3.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:685$83_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:686"
  wire $techmap\murax.system_uartCtrl.streamFifo_3.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:686$84_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:686"
  wire $techmap\murax.system_uartCtrl.streamFifo_3.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:686$85_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:686"
  wire $techmap\murax.system_uartCtrl.streamFifo_3.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:686$87_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:691"
  wire $techmap\murax.system_uartCtrl.streamFifo_3.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:691$91_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:633"
  wire width 8 $techmap\murax.system_uartCtrl.streamFifo_3.$memrd$\ram$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:633$65_DATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:627"
  wire width 4 $techmap\murax.system_uartCtrl.streamFifo_3.$memwr$\ram$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:627$58_ADDR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:627"
  wire width 8 $techmap\murax.system_uartCtrl.streamFifo_3.$memwr$\ram$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:627$58_DATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:627"
  wire width 8 $techmap\murax.system_uartCtrl.streamFifo_3.$memwr$\ram$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:627$58_EN
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:702"
  wire $techmap\murax.system_uartCtrl.streamFifo_3.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:702$95_Y
  wire width 8 $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1342_Y
  wire $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1343_CMP
  wire width 8 $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1344_Y
  wire $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1345_CMP
  wire width 4 $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1346_Y
  wire $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1347_CMP
  wire width 8 $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1348_Y
  wire $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1349_CMP
  wire $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1351_Y
  wire $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1352_CMP
  wire $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1354_Y
  wire $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1355_CMP
  wire $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1357_Y
  wire $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1358_CMP
  wire $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1360_Y
  wire $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1361_CMP
  wire width 4 $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1363_Y
  wire $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1364_CMP
  wire $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1366_Y
  wire $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1367_CMP
  wire width 4 $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1369_Y
  wire $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1370_CMP
  wire $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1371_Y
  wire $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1372_CMP
  wire $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1373_Y
  wire $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1374_CMP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:624"
  wire width 4 $techmap\murax.system_uartCtrl.streamFifo_3.$sub$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:624$59_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:689"
  wire width 4 $techmap\murax.system_uartCtrl.streamFifo_3.$sub$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:689$89_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:562"
  wire width 20 $techmap\murax.system_uartCtrl.uartCtrl_1.$0\clockDivider_counter[19:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:557"
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:557$55_Y
  wire width 20 $techmap\murax.system_uartCtrl.uartCtrl_1.$procmux$1415_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.$procmux$1416_CMP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:566"
  wire width 20 $techmap\murax.system_uartCtrl.uartCtrl_1.$sub$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:566$57_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:409"
  wire width 3 $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$0\bitCounter_value[2:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:409"
  wire width 3 $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$0\bitTimer_counter[2:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:329"
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$0\bitTimer_tick[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:340"
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$0\sampler_samples_1[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:340"
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$0\sampler_samples_2[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:340"
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$0\sampler_tick[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:340"
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$0\sampler_value[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:409"
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$0\stateMachine_parity[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:409"
  wire width 8 $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$0\stateMachine_shifter[7:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:340"
  wire width 3 $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$0\stateMachine_state[2:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:340"
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$0\stateMachine_validReg[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:329"
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$1\bitTimer_tick[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:329"
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$2\bitTimer_tick[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:417"
  wire width 3 $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:417$46_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:314"
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:314$24_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:315"
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:315$25_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:316"
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:316$26_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:367"
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:367$39_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:375"
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:375$40_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:386"
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:386$41_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:399"
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:399$43_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:431"
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:431$48_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:313"
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:313$23_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:355"
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:355$30_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:355"
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:355$31_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:355"
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:355$33_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:355"
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:355$34_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:355"
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:355$36_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:355"
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:355$37_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:313"
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:313$22_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:396"
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:396$42_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:355"
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:355$32_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:355"
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:355$35_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:355"
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:355$38_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1419_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1420_CMP
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1421_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1422_CMP
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1424_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1425_CMP
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1428_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1429_CMP
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1430_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1431_CMP
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1432_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1433_CMP
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1435_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1436_CMP
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1437_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1438_CMP
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1439_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1440_CMP
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1441_CMP
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1442_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1443_CMP
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1444_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1445_CMP
  wire width 3 $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1446_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1447_CMP
  wire width 3 $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1449_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1450_CMP
  wire width 3 $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1451_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1452_CMP
  wire width 3 $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1455_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1456_CMP
  wire width 3 $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1457_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1458_CMP
  wire width 3 $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1459_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1460_CMP
  wire width 3 $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1462_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1463_CMP
  wire width 3 $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1464_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1465_CMP
  wire width 3 $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1466_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1467_CMP
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1468_CMP
  wire width 3 $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1469_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1470_CMP
  wire width 3 $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1471_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1472_CMP
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1473_CMP
  wire width 3 $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1474_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1475_CMP
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1476_CMP
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1478_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1479_CMP
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1480_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1481_CMP
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1482_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1483_CMP
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1486_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1487_CMP
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1488_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1489_CMP
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1490_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1491_CMP
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1495_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1496_CMP
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1497_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1498_CMP
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1499_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1500_CMP
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1505_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1506_CMP
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1507_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1508_CMP
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1509_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1510_CMP
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1516_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1517_CMP
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1518_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1519_CMP
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1520_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1521_CMP
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1528_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1529_CMP
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1530_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1531_CMP
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1532_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1533_CMP
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1541_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1542_CMP
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1543_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1544_CMP
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1545_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1546_CMP
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1555_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1556_CMP
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1557_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1558_CMP
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1559_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1560_CMP
  wire width 3 $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1561_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1562_CMP
  wire width 3 $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1563_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1564_CMP
  wire width 3 $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1565_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1566_CMP
  wire width 3 $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1567_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1568_CMP
  wire width 3 $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1569_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1570_CMP
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1571_CMP
  wire width 3 $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1572_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1573_CMP
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1574_CMP
  wire width 3 $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1575_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1576_CMP
  wire width 3 $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1577_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1578_CMP
  wire width 3 $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1582_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1583_CMP
  wire width 3 $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1584_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1585_CMP
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1586_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1587_CMP
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1590_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1591_CMP
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1592_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1593_CMP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:411"
  wire width 3 $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$sub$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:411$45_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:316"
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:316$27_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:420"
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$xor$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:420$47_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:318|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:95"
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.bufferCC_3.$0\buffers_0[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:318|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:95"
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.bufferCC_3.$0\buffers_1[0:0]
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.bufferCC_3.$auto$proc_dff.cc:152:gen_dffsr$2410
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.bufferCC_3.$auto$proc_dff.cc:152:gen_dffsr$2417
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.bufferCC_3.$auto$proc_dff.cc:153:gen_dffsr$2411
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.bufferCC_3.$auto$proc_dff.cc:153:gen_dffsr$2418
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.bufferCC_3.$auto$proc_dff.cc:154:gen_dffsr$2412
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.rx.bufferCC_3.$auto$proc_dff.cc:154:gen_dffsr$2419
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:201"
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$0\_zz_1[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:164"
  wire width 3 $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$0\clockDivider_counter_valueNext[2:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:201"
  wire width 3 $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$0\clockDivider_counter_value[2:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:154"
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$0\clockDivider_counter_willIncrement[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:175"
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$0\io_write_ready[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:247"
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$0\stateMachine_parity[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:201"
  wire width 3 $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$0\stateMachine_state[2:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:175"
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$0\stateMachine_txd[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:247"
  wire width 3 $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$0\tickCounter_value[2:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:164"
  wire width 3 $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$1\clockDivider_counter_valueNext[2:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:154"
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$1\clockDivider_counter_willIncrement[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:175"
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$1\io_write_ready[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:175"
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$1\stateMachine_txd[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:164"
  wire width 3 $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$2\clockDivider_counter_valueNext[2:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:175"
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$2\io_write_ready[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:175"
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$3\io_write_ready[0:0]
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:168"
  wire width 3 $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:168$10_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:249"
  wire width 3 $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:249$19_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:149"
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:149$3_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:152"
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:152$4_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:162"
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:162$7_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:222"
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:222$15_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:237"
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:237$16_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:259"
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:259$21_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:163"
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:163$8_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:210"
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:210$14_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1595_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1596_CMP
  wire width 3 $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1598_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1599_CMP
  wire width 3 $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1601_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1602_CMP
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1607_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1608_CMP
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1609_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1610_CMP
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1611_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1612_CMP
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1616_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1617_CMP
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1618_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1619_CMP
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1621_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1622_CMP
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1623_CMP
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1624_CMP
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1627_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1628_CMP
  wire width 3 $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1629_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1630_CMP
  wire width 3 $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1631_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1632_CMP
  wire width 3 $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1634_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1635_CMP
  wire width 3 $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1636_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1637_CMP
  wire width 3 $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1639_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1640_CMP
  wire width 3 $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1641_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1642_CMP
  wire width 3 $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1643_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1644_CMP
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1645_CMP
  wire width 3 $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1646_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1647_CMP
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1648_CMP
  wire width 3 $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1649_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1650_CMP
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1651_CMP
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1652_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1653_CMP
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1656_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1657_CMP
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1658_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1659_CMP
  wire width 3 $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1660_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1661_CMP
  wire width 3 $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1662_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1663_CMP
  wire width 3 $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1664_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1665_CMP
  wire width 3 $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1666_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1667_CMP
  wire width 3 $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1668_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1669_CMP
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1670_CMP
  wire width 3 $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1671_Y
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1672_CMP
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1673_CMP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:185"
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$shiftx$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:185$12_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:152"
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:152$5_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:238"
  wire width 3 $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:238$17_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:252"
  wire $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$xor$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:252$20_Y
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:14"
  wire width 32 \io_gpioA_read
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:15"
  wire width 32 \io_gpioA_write
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:16"
  wire width 32 \io_gpioA_writeEnable
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:5"
  wire input 2 \io_jtag_tck
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:6"
  wire input 3 \io_jtag_tdi
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:7"
  wire output 4 \io_jtag_tdo
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:8"
  wire input 5 \io_jtag_tms
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:11"
  wire width 6 output 8 \io_led
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:4"
  wire input 1 \io_mainClk
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:10"
  wire input 7 \io_uart_rxd
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:9"
  wire output 6 \io_uart_txd
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4168"
  wire width 6 \murax._zz_1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4181"
  wire \murax._zz_10
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4155"
  wire \murax._zz_100
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4156"
  wire width 32 \murax._zz_101
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4157"
  wire width 20 \murax._zz_102
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4158"
  wire \murax._zz_103
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4159"
  wire \murax._zz_104
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4160"
  wire \murax._zz_105
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4161"
  wire width 32 \murax._zz_106
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4162"
  wire \murax._zz_107
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4163"
  wire \murax._zz_108
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4164"
  wire width 32 \murax._zz_109
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4066"
  wire width 8 \murax._zz_11
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4165"
  wire width 32 \murax._zz_110
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4067"
  wire \murax._zz_12
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4068"
  wire \murax._zz_13
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4069"
  wire width 4 \murax._zz_14
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4070"
  wire width 4 \murax._zz_15
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4071"
  wire width 8 \murax._zz_16
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4072"
  wire \murax._zz_17
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4073"
  wire width 32 \murax._zz_18
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4074"
  wire \murax._zz_19
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4173"
  wire \murax._zz_2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4075"
  wire \murax._zz_20
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4076"
  wire \murax._zz_21
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4077"
  wire \murax._zz_22
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4078"
  wire width 32 \murax._zz_23
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4079"
  wire \murax._zz_24
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4080"
  wire \murax._zz_25
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4081"
  wire \murax._zz_26
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4082"
  wire width 32 \murax._zz_27
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4083"
  wire \murax._zz_28
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4084"
  wire \murax._zz_29
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4174"
  wire \murax._zz_3
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4085"
  wire width 32 \murax._zz_30
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4086"
  wire width 32 \murax._zz_31
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4087"
  wire width 4 \murax._zz_32
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4088"
  wire \murax._zz_33
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4089"
  wire width 32 \murax._zz_34
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4090"
  wire \murax._zz_35
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4091"
  wire \murax._zz_36
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4092"
  wire width 32 \murax._zz_37
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4093"
  wire \murax._zz_38
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4094"
  wire \murax._zz_39
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4175"
  wire \murax._zz_4
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4095"
  wire width 32 \murax._zz_40
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4096"
  wire width 32 \murax._zz_41
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4097"
  wire width 2 \murax._zz_42
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4098"
  wire \murax._zz_43
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4099"
  wire \murax._zz_44
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4100"
  wire \murax._zz_45
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4101"
  wire \murax._zz_46
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4102"
  wire \murax._zz_47
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4103"
  wire \murax._zz_48
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4104"
  wire \murax._zz_49
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4176"
  wire \murax._zz_5
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4105"
  wire \murax._zz_50
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4106"
  wire width 32 \murax._zz_51
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4107"
  wire \murax._zz_52
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4108"
  wire width 32 \murax._zz_53
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4109"
  wire width 32 \murax._zz_54
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4110"
  wire \murax._zz_55
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4111"
  wire width 2 \murax._zz_56
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4112"
  wire \murax._zz_57
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4113"
  wire \murax._zz_58
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4114"
  wire width 32 \murax._zz_59
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4177"
  wire width 32 \murax._zz_6
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4115"
  wire \murax._zz_60
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4116"
  wire \murax._zz_61
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4117"
  wire width 32 \murax._zz_62
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4118"
  wire width 20 \murax._zz_63
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4119"
  wire \murax._zz_64
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4120"
  wire \murax._zz_65
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4121"
  wire \murax._zz_66
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4122"
  wire width 32 \murax._zz_67
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4123"
  wire \murax._zz_68
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4124"
  wire width 32 \murax._zz_69
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4178"
  wire width 32 \murax._zz_7
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4125"
  wire \murax._zz_70
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4126"
  wire width 32 \murax._zz_71
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4127"
  wire width 32 \murax._zz_72
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4128"
  wire \murax._zz_73
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4129"
  wire width 32 \murax._zz_74
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4130"
  wire \murax._zz_75
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4131"
  wire \murax._zz_76
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4132"
  wire \murax._zz_77
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4133"
  wire width 32 \murax._zz_78
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4134"
  wire \murax._zz_79
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4179"
  wire width 2 \murax._zz_8
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4135"
  wire \murax._zz_80
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4136"
  wire \murax._zz_81
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4137"
  wire width 32 \murax._zz_82
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4138"
  wire \murax._zz_83
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4139"
  wire width 20 \murax._zz_84
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4140"
  wire width 3 \murax._zz_85
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4141"
  wire \murax._zz_86
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4142"
  wire \murax._zz_87
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4143"
  wire width 32 \murax._zz_88
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4144"
  wire \murax._zz_89
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4180"
  wire \murax._zz_9
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4145"
  wire width 32 \murax._zz_90
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4146"
  wire \murax._zz_91
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4147"
  wire width 20 \murax._zz_92
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4148"
  wire \murax._zz_93
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4149"
  wire \murax._zz_94
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4150"
  wire \murax._zz_95
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4151"
  wire width 32 \murax._zz_96
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4152"
  wire width 20 \murax._zz_97
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4153"
  wire \murax._zz_98
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4154"
  wire \murax._zz_99
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4389|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4005"
  wire \murax.apb3Router_1._zz_1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4389|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4006"
  wire \murax.apb3Router_1._zz_2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4389|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4002"
  wire \murax.apb3Router_1._zz_3
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4389|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4003"
  wire width 32 \murax.apb3Router_1._zz_4
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4389|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4004"
  wire \murax.apb3Router_1._zz_5
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4389|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3968"
  wire width 20 \murax.apb3Router_1.io_input_PADDR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4389|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3970"
  wire \murax.apb3Router_1.io_input_PENABLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4389|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3974"
  wire width 32 \murax.apb3Router_1.io_input_PRDATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4389|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3971"
  wire \murax.apb3Router_1.io_input_PREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4389|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3969"
  wire width 3 \murax.apb3Router_1.io_input_PSEL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4389|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3975"
  wire \murax.apb3Router_1.io_input_PSLVERROR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4389|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3973"
  wire width 32 \murax.apb3Router_1.io_input_PWDATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4389|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3972"
  wire \murax.apb3Router_1.io_input_PWRITE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4389|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4000"
  wire \murax.apb3Router_1.io_mainClk
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4389|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3976"
  wire width 20 \murax.apb3Router_1.io_outputs_0_PADDR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4389|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3978"
  wire \murax.apb3Router_1.io_outputs_0_PENABLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4389|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3982"
  wire width 32 \murax.apb3Router_1.io_outputs_0_PRDATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4389|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3979"
  wire \murax.apb3Router_1.io_outputs_0_PREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4389|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3977"
  wire \murax.apb3Router_1.io_outputs_0_PSEL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4389|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3983"
  wire \murax.apb3Router_1.io_outputs_0_PSLVERROR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4389|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3981"
  wire width 32 \murax.apb3Router_1.io_outputs_0_PWDATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4389|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3980"
  wire \murax.apb3Router_1.io_outputs_0_PWRITE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4389|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3984"
  wire width 20 \murax.apb3Router_1.io_outputs_1_PADDR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4389|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3986"
  wire \murax.apb3Router_1.io_outputs_1_PENABLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4389|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3990"
  wire width 32 \murax.apb3Router_1.io_outputs_1_PRDATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4389|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3987"
  wire \murax.apb3Router_1.io_outputs_1_PREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4389|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3985"
  wire \murax.apb3Router_1.io_outputs_1_PSEL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4389|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3991"
  wire \murax.apb3Router_1.io_outputs_1_PSLVERROR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4389|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3989"
  wire width 32 \murax.apb3Router_1.io_outputs_1_PWDATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4389|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3988"
  wire \murax.apb3Router_1.io_outputs_1_PWRITE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4389|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3992"
  wire width 20 \murax.apb3Router_1.io_outputs_2_PADDR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4389|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3994"
  wire \murax.apb3Router_1.io_outputs_2_PENABLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4389|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3998"
  wire width 32 \murax.apb3Router_1.io_outputs_2_PRDATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4389|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3995"
  wire \murax.apb3Router_1.io_outputs_2_PREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4389|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3993"
  wire \murax.apb3Router_1.io_outputs_2_PSEL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4389|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3999"
  wire \murax.apb3Router_1.io_outputs_2_PSLVERROR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4389|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3997"
  wire width 32 \murax.apb3Router_1.io_outputs_2_PWDATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4389|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3996"
  wire \murax.apb3Router_1.io_outputs_2_PWRITE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4389|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4001"
  wire \murax.apb3Router_1.resetCtrl_systemReset
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4389|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4007"
  wire width 2 \murax.apb3Router_1.selIndex
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4200|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:803"
  wire \murax.bufferCC_3.buffers_0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4200|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:804"
  wire \murax.bufferCC_3.buffers_1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4200|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:800"
  wire \murax.bufferCC_3.io_dataIn
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4200|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:801"
  wire \murax.bufferCC_3.io_dataOut
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4200|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:802"
  wire \murax.bufferCC_3.io_mainClk
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4371|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3946"
  wire width 20 \murax.io_apb_decoder._zz_1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4371|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3947"
  wire width 20 \murax.io_apb_decoder._zz_2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4371|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3948"
  wire width 20 \murax.io_apb_decoder._zz_3
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4371|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3930"
  wire width 20 \murax.io_apb_decoder.io_input_PADDR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4371|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3932"
  wire \murax.io_apb_decoder.io_input_PENABLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4371|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3936"
  wire width 32 \murax.io_apb_decoder.io_input_PRDATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4371|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3933"
  wire \murax.io_apb_decoder.io_input_PREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4371|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3931"
  wire \murax.io_apb_decoder.io_input_PSEL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4371|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3937"
  wire \murax.io_apb_decoder.io_input_PSLVERROR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4371|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3935"
  wire width 32 \murax.io_apb_decoder.io_input_PWDATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4371|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3934"
  wire \murax.io_apb_decoder.io_input_PWRITE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4371|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3938"
  wire width 20 \murax.io_apb_decoder.io_output_PADDR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4371|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3940"
  wire \murax.io_apb_decoder.io_output_PENABLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4371|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3944"
  wire width 32 \murax.io_apb_decoder.io_output_PRDATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4371|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3941"
  wire \murax.io_apb_decoder.io_output_PREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4371|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3939"
  wire width 3 \murax.io_apb_decoder.io_output_PSEL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4371|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3945"
  wire \murax.io_apb_decoder.io_output_PSLVERROR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4371|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3943"
  wire width 32 \murax.io_apb_decoder.io_output_PWDATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4371|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3942"
  wire \murax.io_apb_decoder.io_output_PWRITE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4055"
  wire \murax.io_asyncReset
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4061"
  wire width 32 \murax.io_gpioA_read
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4062"
  wire width 32 \murax.io_gpioA_write
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4063"
  wire width 32 \murax.io_gpioA_writeEnable
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4060"
  wire \murax.io_jtag_tck
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4058"
  wire \murax.io_jtag_tdi
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4059"
  wire \murax.io_jtag_tdo
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4057"
  wire \murax.io_jtag_tms
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4056"
  wire \murax.io_mainClk
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4065"
  wire \murax.io_uart_rxd
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4064"
  wire \murax.io_uart_txd
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2969"
  wire width 4 \murax.jtagBridge_1._zz_1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2959"
  wire width 4 \murax.jtagBridge_1._zz_10
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2960"
  wire width 4 \murax.jtagBridge_1._zz_11
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2951"
  wire \murax.jtagBridge_1._zz_2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2952"
  wire \murax.jtagBridge_1._zz_3
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2953"
  wire \murax.jtagBridge_1._zz_4
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2954"
  wire \murax.jtagBridge_1._zz_5
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2955"
  wire \murax.jtagBridge_1._zz_6
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2956"
  wire \murax.jtagBridge_1._zz_7
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2957"
  wire \murax.jtagBridge_1._zz_8
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2958"
  wire width 4 \murax.jtagBridge_1._zz_9
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2991|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:474"
  wire \murax.jtagBridge_1.flowCCByToggle_1._zz_1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2991|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:475"
  wire \murax.jtagBridge_1.flowCCByToggle_1._zz_2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2991|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:476"
  wire \murax.jtagBridge_1.flowCCByToggle_1._zz_3
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2991|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:464"
  wire \murax.jtagBridge_1.flowCCByToggle_1._zz_4
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2991|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:477|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:112"
  wire \murax.jtagBridge_1.flowCCByToggle_1.bufferCC_3.buffers_0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2991|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:477|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:113"
  wire \murax.jtagBridge_1.flowCCByToggle_1.bufferCC_3.buffers_1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2991|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:477|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:108"
  wire \murax.jtagBridge_1.flowCCByToggle_1.bufferCC_3.io_dataIn
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2991|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:477|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:109"
  wire \murax.jtagBridge_1.flowCCByToggle_1.bufferCC_3.io_dataOut
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2991|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:477|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:110"
  wire \murax.jtagBridge_1.flowCCByToggle_1.bufferCC_3.io_mainClk
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2991|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:477|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:111"
  wire \murax.jtagBridge_1.flowCCByToggle_1.bufferCC_3.resetCtrl_mainClkReset
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2991|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:468"
  wire \murax.jtagBridge_1.flowCCByToggle_1.inputArea_data_fragment
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2991|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:467"
  wire \murax.jtagBridge_1.flowCCByToggle_1.inputArea_data_last
  attribute \init 1'1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2991|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:466"
  wire \murax.jtagBridge_1.flowCCByToggle_1.inputArea_target
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2991|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:457"
  wire \murax.jtagBridge_1.flowCCByToggle_1.io_input_payload_fragment
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2991|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:456"
  wire \murax.jtagBridge_1.flowCCByToggle_1.io_input_payload_last
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2991|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:455"
  wire \murax.jtagBridge_1.flowCCByToggle_1.io_input_valid
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2991|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:461"
  wire \murax.jtagBridge_1.flowCCByToggle_1.io_jtag_tck
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2991|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:462"
  wire \murax.jtagBridge_1.flowCCByToggle_1.io_mainClk
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2991|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:460"
  wire \murax.jtagBridge_1.flowCCByToggle_1.io_output_payload_fragment
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2991|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:459"
  wire \murax.jtagBridge_1.flowCCByToggle_1.io_output_payload_last
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2991|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:458"
  wire \murax.jtagBridge_1.flowCCByToggle_1.io_output_valid
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2991|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:465"
  wire \murax.jtagBridge_1.flowCCByToggle_1.outHitSignal
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2991|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:473"
  wire \murax.jtagBridge_1.flowCCByToggle_1.outputArea_flow_payload_fragment
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2991|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:472"
  wire \murax.jtagBridge_1.flowCCByToggle_1.outputArea_flow_payload_last
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2991|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:471"
  wire \murax.jtagBridge_1.flowCCByToggle_1.outputArea_flow_valid
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2991|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:470"
  wire \murax.jtagBridge_1.flowCCByToggle_1.outputArea_hit
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2991|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:469"
  wire \murax.jtagBridge_1.flowCCByToggle_1.outputArea_target
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2991|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:463"
  wire \murax.jtagBridge_1.flowCCByToggle_1.resetCtrl_mainClkReset
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2940"
  wire \murax.jtagBridge_1.io_jtag_tck
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2938"
  wire \murax.jtagBridge_1.io_jtag_tdi
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2939"
  wire \murax.jtagBridge_1.io_jtag_tdo
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2937"
  wire \murax.jtagBridge_1.io_jtag_tms
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2949"
  wire \murax.jtagBridge_1.io_mainClk
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2944"
  wire \murax.jtagBridge_1.io_remote_cmd_payload_fragment
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2943"
  wire \murax.jtagBridge_1.io_remote_cmd_payload_last
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2942"
  wire \murax.jtagBridge_1.io_remote_cmd_ready
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2941"
  wire \murax.jtagBridge_1.io_remote_cmd_valid
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2948"
  wire width 32 \murax.jtagBridge_1.io_remote_rsp_payload_data
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2947"
  wire \murax.jtagBridge_1.io_remote_rsp_payload_error
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2946"
  wire \murax.jtagBridge_1.io_remote_rsp_ready
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2945"
  wire \murax.jtagBridge_1.io_remote_rsp_valid
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2974"
  wire \murax.jtagBridge_1.jtag_idcodeArea_instructionHit
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2973"
  wire \murax.jtagBridge_1.jtag_idcodeArea_instructionId
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2975"
  wire width 32 \murax.jtagBridge_1.jtag_idcodeArea_shifter
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2982"
  wire \murax.jtagBridge_1.jtag_readArea_instructionHit
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2981"
  wire width 2 \murax.jtagBridge_1.jtag_readArea_instructionId
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2983"
  wire width 34 \murax.jtagBridge_1.jtag_readArea_shifter
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2972"
  wire \murax.jtagBridge_1.jtag_tap_bypass
  attribute \init 4'1000
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2968"
  wire width 4 \murax.jtagBridge_1.jtag_tap_fsm_state
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2967"
  wire width 4 \murax.jtagBridge_1.jtag_tap_fsm_stateNext
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2970"
  wire width 4 \murax.jtagBridge_1.jtag_tap_instruction
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2971"
  wire width 4 \murax.jtagBridge_1.jtag_tap_instructionShift
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2977"
  wire \murax.jtagBridge_1.jtag_writeArea_instructionHit
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2976"
  wire width 2 \murax.jtagBridge_1.jtag_writeArea_instructionId
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2980"
  wire \murax.jtagBridge_1.jtag_writeArea_source_payload_fragment
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2979"
  wire \murax.jtagBridge_1.jtag_writeArea_source_payload_last
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2978"
  wire \murax.jtagBridge_1.jtag_writeArea_source_valid
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2950"
  wire \murax.jtagBridge_1.resetCtrl_mainClkReset
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2963"
  wire \murax.jtagBridge_1.system_cmd_payload_fragment
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2962"
  wire \murax.jtagBridge_1.system_cmd_payload_last
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2961"
  wire \murax.jtagBridge_1.system_cmd_valid
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2966"
  wire width 32 \murax.jtagBridge_1.system_rsp_payload_data
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2965"
  wire \murax.jtagBridge_1.system_rsp_payload_error
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2964"
  wire \murax.jtagBridge_1.system_rsp_valid
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4169"
  wire \murax.resetCtrl_mainClkReset
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4166"
  wire \murax.resetCtrl_mainClkResetUnbuffered
  attribute \init 6'000000
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4167"
  wire width 6 \murax.resetCtrl_systemClkResetCounter
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4170"
  wire \murax.resetCtrl_systemReset
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3185"
  wire width 67 \murax.systemDebugger_1._zz_1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3176"
  wire \murax.systemDebugger_1._zz_2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3177"
  wire \murax.systemDebugger_1._zz_3
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3178"
  wire \murax.systemDebugger_1._zz_4
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3184"
  wire width 3 \murax.systemDebugger_1.dispatcher_counter
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3180"
  wire \murax.systemDebugger_1.dispatcher_dataLoaded
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3179"
  wire width 67 \murax.systemDebugger_1.dispatcher_dataShifter
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3182"
  wire width 8 \murax.systemDebugger_1.dispatcher_header
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3183"
  wire \murax.systemDebugger_1.dispatcher_headerLoaded
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3181"
  wire width 8 \murax.systemDebugger_1.dispatcher_headerShifter
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3174"
  wire \murax.systemDebugger_1.io_mainClk
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3168"
  wire width 32 \murax.systemDebugger_1.io_mem_cmd_payload_address
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3169"
  wire width 32 \murax.systemDebugger_1.io_mem_cmd_payload_data
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3171"
  wire width 2 \murax.systemDebugger_1.io_mem_cmd_payload_size
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3170"
  wire \murax.systemDebugger_1.io_mem_cmd_payload_wr
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3167"
  wire \murax.systemDebugger_1.io_mem_cmd_ready
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3166"
  wire \murax.systemDebugger_1.io_mem_cmd_valid
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3173"
  wire width 32 \murax.systemDebugger_1.io_mem_rsp_payload
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3172"
  wire \murax.systemDebugger_1.io_mem_rsp_valid
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3161"
  wire \murax.systemDebugger_1.io_remote_cmd_payload_fragment
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3160"
  wire \murax.systemDebugger_1.io_remote_cmd_payload_last
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3159"
  wire \murax.systemDebugger_1.io_remote_cmd_ready
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3158"
  wire \murax.systemDebugger_1.io_remote_cmd_valid
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3165"
  wire width 32 \murax.systemDebugger_1.io_remote_rsp_payload_data
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3164"
  wire \murax.systemDebugger_1.io_remote_rsp_payload_error
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3163"
  wire \murax.systemDebugger_1.io_remote_rsp_ready
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3162"
  wire \murax.systemDebugger_1.io_remote_rsp_valid
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3175"
  wire \murax.systemDebugger_1.resetCtrl_mainClkReset
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3339"
  wire \murax.system_apbBridge._zz_1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3329"
  wire \murax.system_apbBridge._zz_10
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3330"
  wire \murax.system_apbBridge._zz_11
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3340"
  wire \murax.system_apbBridge._zz_2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3341"
  wire \murax.system_apbBridge._zz_3
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3342"
  wire \murax.system_apbBridge._zz_4
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3343"
  wire width 32 \murax.system_apbBridge._zz_5
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3344"
  wire width 32 \murax.system_apbBridge._zz_6
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3345"
  wire width 4 \murax.system_apbBridge._zz_7
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3346"
  wire \murax.system_apbBridge._zz_8
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3347"
  wire width 32 \murax.system_apbBridge._zz_9
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3319"
  wire width 20 \murax.system_apbBridge.io_apb_PADDR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3321"
  wire \murax.system_apbBridge.io_apb_PENABLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3325"
  wire width 32 \murax.system_apbBridge.io_apb_PRDATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3322"
  wire \murax.system_apbBridge.io_apb_PREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3320"
  wire \murax.system_apbBridge.io_apb_PSEL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3326"
  wire \murax.system_apbBridge.io_apb_PSLVERROR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3324"
  wire width 32 \murax.system_apbBridge.io_apb_PWDATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3323"
  wire \murax.system_apbBridge.io_apb_PWRITE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3327"
  wire \murax.system_apbBridge.io_mainClk
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3314"
  wire width 32 \murax.system_apbBridge.io_simpleBus_cmd_payload_address
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3315"
  wire width 32 \murax.system_apbBridge.io_simpleBus_cmd_payload_data
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3316"
  wire width 4 \murax.system_apbBridge.io_simpleBus_cmd_payload_mask
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3313"
  wire \murax.system_apbBridge.io_simpleBus_cmd_payload_wr
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3312"
  wire \murax.system_apbBridge.io_simpleBus_cmd_ready
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3311"
  wire \murax.system_apbBridge.io_simpleBus_cmd_valid
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3318"
  wire width 32 \murax.system_apbBridge.io_simpleBus_rsp_payload_data
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3317"
  wire \murax.system_apbBridge.io_simpleBus_rsp_valid
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3328"
  wire \murax.system_apbBridge.resetCtrl_systemReset
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3334"
  wire width 32 \murax.system_apbBridge.simpleBusStage_cmd_payload_address
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3335"
  wire width 32 \murax.system_apbBridge.simpleBusStage_cmd_payload_data
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3336"
  wire width 4 \murax.system_apbBridge.simpleBusStage_cmd_payload_mask
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3333"
  wire \murax.system_apbBridge.simpleBusStage_cmd_payload_wr
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3332"
  wire \murax.system_apbBridge.simpleBusStage_cmd_ready
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3331"
  wire \murax.system_apbBridge.simpleBusStage_cmd_valid
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3338"
  wire width 32 \murax.system_apbBridge.simpleBusStage_rsp_payload_data
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3337"
  wire \murax.system_apbBridge.simpleBusStage_rsp_valid
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3348"
  wire \murax.system_apbBridge.state
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1334"
  wire \murax.system_cpu.CsrPlugin_exception
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1333"
  wire \murax.system_cpu.CsrPlugin_interrupt
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1332"
  wire \murax.system_cpu.CsrPlugin_interruptRequest
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1327"
  wire width 32 \murax.system_cpu.CsrPlugin_mbadaddr
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1326"
  wire width 4 \murax.system_cpu.CsrPlugin_mcause_exceptionCode
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1325"
  wire \murax.system_cpu.CsrPlugin_mcause_interrupt
  attribute \init 64'0000010001001101000000101000010001000111110101110010011001010011
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1328"
  wire width 64 \murax.system_cpu.CsrPlugin_mcycle
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1315"
  wire width 32 \murax.system_cpu.CsrPlugin_mepc
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1322"
  wire \murax.system_cpu.CsrPlugin_mie_MEIE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1324"
  wire \murax.system_cpu.CsrPlugin_mie_MSIE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1323"
  wire \murax.system_cpu.CsrPlugin_mie_MTIE
  attribute \init 64'1110011011100100100100010001110100010111101010011111010100011101
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1329"
  wire width 64 \murax.system_cpu.CsrPlugin_minstret
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1319"
  wire \murax.system_cpu.CsrPlugin_mip_MEIP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1321"
  wire \murax.system_cpu.CsrPlugin_mip_MSIP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1320"
  wire \murax.system_cpu.CsrPlugin_mip_MTIP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1312"
  wire width 2 \murax.system_cpu.CsrPlugin_misa_base
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1313"
  wire width 26 \murax.system_cpu.CsrPlugin_misa_extensions
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1316"
  wire \murax.system_cpu.CsrPlugin_mstatus_MIE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1317"
  wire \murax.system_cpu.CsrPlugin_mstatus_MPIE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1318"
  wire width 2 \murax.system_cpu.CsrPlugin_mstatus_MPP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1314"
  wire width 32 \murax.system_cpu.CsrPlugin_mtvec
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1331"
  wire \murax.system_cpu.CsrPlugin_pipelineLiberator_done
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1330"
  wire \murax.system_cpu.CsrPlugin_pipelineLiberator_enable
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1335"
  wire \murax.system_cpu.CsrPlugin_writeBackWasWfi
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1417"
  wire width 32 \murax.system_cpu.DebugPlugin_busReadDataReg
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1408"
  wire \murax.system_cpu.DebugPlugin_firstCycle
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1411"
  wire \murax.system_cpu.DebugPlugin_haltIt
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1416"
  wire \murax.system_cpu.DebugPlugin_haltedByBreak
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1407"
  wire \murax.system_cpu.DebugPlugin_insertDecodeInstruction
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1413"
  wire \murax.system_cpu.DebugPlugin_isPipActive
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1415"
  wire \murax.system_cpu.DebugPlugin_isPipBusy
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1410"
  wire \murax.system_cpu.DebugPlugin_resetIt
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1409"
  wire \murax.system_cpu.DebugPlugin_secondCycle
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1412"
  wire \murax.system_cpu.DebugPlugin_stepIt
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1076"
  wire width 2 \murax.system_cpu._zz_1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1094"
  wire width 2 \murax.system_cpu._zz_10
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1357"
  wire width 2 \murax.system_cpu._zz_100
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1358"
  wire width 2 \murax.system_cpu._zz_101
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1359"
  wire width 2 \murax.system_cpu._zz_102
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1360"
  wire width 2 \murax.system_cpu._zz_103
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1361"
  wire width 2 \murax.system_cpu._zz_104
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1365"
  wire \murax.system_cpu._zz_105
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1367"
  wire \murax.system_cpu._zz_106
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1371"
  wire \murax.system_cpu._zz_107
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1373"
  wire width 32 \murax.system_cpu._zz_108
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1374"
  wire width 32 \murax.system_cpu._zz_109
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1095"
  wire width 2 \murax.system_cpu._zz_11
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1375"
  wire \murax.system_cpu._zz_110
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1376"
  wire width 20 \murax.system_cpu._zz_111
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1377"
  wire \murax.system_cpu._zz_112
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1378"
  wire width 20 \murax.system_cpu._zz_113
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1379"
  wire width 32 \murax.system_cpu._zz_114
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1388"
  wire width 32 \murax.system_cpu._zz_115
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1389"
  wire \murax.system_cpu._zz_116
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1390"
  wire \murax.system_cpu._zz_117
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1391"
  wire \murax.system_cpu._zz_118
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1392"
  wire width 5 \murax.system_cpu._zz_119
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1096"
  wire width 2 \murax.system_cpu._zz_12
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1394"
  wire width 3 \murax.system_cpu._zz_120
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1395"
  wire \murax.system_cpu._zz_121
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1396"
  wire \murax.system_cpu._zz_122
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1398"
  wire \murax.system_cpu._zz_123
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1399"
  wire width 11 \murax.system_cpu._zz_124
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1400"
  wire \murax.system_cpu._zz_125
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1401"
  wire width 20 \murax.system_cpu._zz_126
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1402"
  wire \murax.system_cpu._zz_127
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1403"
  wire width 19 \murax.system_cpu._zz_128
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1404"
  wire width 32 \murax.system_cpu._zz_129
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1098"
  wire width 2 \murax.system_cpu._zz_13
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1414"
  wire \murax.system_cpu._zz_130
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1418"
  wire \murax.system_cpu._zz_131
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1419"
  wire \murax.system_cpu._zz_132
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:926"
  wire width 32 \murax.system_cpu._zz_133
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:927"
  wire width 32 \murax.system_cpu._zz_134
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:928"
  wire \murax.system_cpu._zz_135
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:929"
  wire width 2 \murax.system_cpu._zz_136
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:930"
  wire width 32 \murax.system_cpu._zz_137
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:931"
  wire \murax.system_cpu._zz_138
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:932"
  wire width 32 \murax.system_cpu._zz_139
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1099"
  wire width 2 \murax.system_cpu._zz_14
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:933"
  wire \murax.system_cpu._zz_140
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:934"
  wire \murax.system_cpu._zz_141
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:935"
  wire \murax.system_cpu._zz_142
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:936"
  wire \murax.system_cpu._zz_143
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:937"
  wire \murax.system_cpu._zz_144
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:938"
  wire \murax.system_cpu._zz_145
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:939"
  wire width 2 \murax.system_cpu._zz_146
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:940"
  wire \murax.system_cpu._zz_147
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:941"
  wire width 2 \murax.system_cpu._zz_148
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:942"
  wire width 2 \murax.system_cpu._zz_149
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1100"
  wire width 2 \murax.system_cpu._zz_15
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:943"
  wire width 3 \murax.system_cpu._zz_150
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:944"
  wire width 4 \murax.system_cpu._zz_151
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:945"
  wire width 5 \murax.system_cpu._zz_152
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:946"
  wire width 32 \murax.system_cpu._zz_153
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:947"
  wire \murax.system_cpu._zz_154
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:948"
  wire \murax.system_cpu._zz_155
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:949"
  wire \murax.system_cpu._zz_156
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:950"
  wire \murax.system_cpu._zz_157
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:951"
  wire \murax.system_cpu._zz_158
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:952"
  wire \murax.system_cpu._zz_159
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1105"
  wire width 2 \murax.system_cpu._zz_16
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:953"
  wire \murax.system_cpu._zz_160
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:954"
  wire \murax.system_cpu._zz_161
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:955"
  wire \murax.system_cpu._zz_162
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:956"
  wire \murax.system_cpu._zz_163
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:957"
  wire \murax.system_cpu._zz_164
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:958"
  wire width 12 \murax.system_cpu._zz_165
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:959"
  wire width 12 \murax.system_cpu._zz_166
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:960"
  wire width 32 \murax.system_cpu._zz_167
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:961"
  wire width 32 \murax.system_cpu._zz_168
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:962"
  wire width 32 \murax.system_cpu._zz_169
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1106"
  wire width 2 \murax.system_cpu._zz_17
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:963"
  wire width 32 \murax.system_cpu._zz_170
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:964"
  wire width 2 \murax.system_cpu._zz_171
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:965"
  wire width 32 \murax.system_cpu._zz_172
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:966"
  wire width 2 \murax.system_cpu._zz_173
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:967"
  wire width 2 \murax.system_cpu._zz_174
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:968"
  wire width 32 \murax.system_cpu._zz_175
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:969"
  wire width 33 \murax.system_cpu._zz_176
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:970"
  wire width 20 \murax.system_cpu._zz_177
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:971"
  wire width 12 \murax.system_cpu._zz_178
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:972"
  wire width 12 \murax.system_cpu._zz_179
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1107"
  wire width 2 \murax.system_cpu._zz_18
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:973"
  wire \murax.system_cpu._zz_180
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:974"
  wire \murax.system_cpu._zz_181
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:975"
  wire \murax.system_cpu._zz_182
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:976"
  wire \murax.system_cpu._zz_183
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:977"
  wire \murax.system_cpu._zz_184
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:978"
  wire \murax.system_cpu._zz_185
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:979"
  wire \murax.system_cpu._zz_186
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:980"
  wire width 32 \murax.system_cpu._zz_187
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:981"
  wire width 32 \murax.system_cpu._zz_188
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:982"
  wire \murax.system_cpu._zz_189
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1122"
  wire width 32 \murax.system_cpu._zz_19
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:983"
  wire \murax.system_cpu._zz_190
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:984"
  wire \murax.system_cpu._zz_191
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:985"
  wire \murax.system_cpu._zz_192
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:986"
  wire \murax.system_cpu._zz_193
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:987"
  wire \murax.system_cpu._zz_194
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:988"
  wire width 18 \murax.system_cpu._zz_195
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:989"
  wire \murax.system_cpu._zz_196
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:990"
  wire width 3 \murax.system_cpu._zz_197
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:991"
  wire \murax.system_cpu._zz_198
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:992"
  wire width 2 \murax.system_cpu._zz_199
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1077"
  wire width 2 \murax.system_cpu._zz_2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1126"
  wire width 2 \murax.system_cpu._zz_20
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:993"
  wire width 3 \murax.system_cpu._zz_200
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:994"
  wire width 3 \murax.system_cpu._zz_201
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:995"
  wire \murax.system_cpu._zz_202
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:996"
  wire \murax.system_cpu._zz_203
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:997"
  wire width 14 \murax.system_cpu._zz_204
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:998"
  wire width 32 \murax.system_cpu._zz_205
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:999"
  wire width 32 \murax.system_cpu._zz_206
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1000"
  wire \murax.system_cpu._zz_207
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1001"
  wire \murax.system_cpu._zz_208
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1002"
  wire width 32 \murax.system_cpu._zz_209
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1127"
  wire \murax.system_cpu._zz_21
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1003"
  wire width 32 \murax.system_cpu._zz_210
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1004"
  wire \murax.system_cpu._zz_211
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1005"
  wire \murax.system_cpu._zz_212
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1006"
  wire \murax.system_cpu._zz_213
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1007"
  wire \murax.system_cpu._zz_214
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1008"
  wire width 2 \murax.system_cpu._zz_215
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1009"
  wire \murax.system_cpu._zz_216
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1010"
  wire \murax.system_cpu._zz_217
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1011"
  wire \murax.system_cpu._zz_218
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1012"
  wire \murax.system_cpu._zz_219
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1136"
  wire width 2 \murax.system_cpu._zz_22
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1013"
  wire width 11 \murax.system_cpu._zz_220
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1014"
  wire width 32 \murax.system_cpu._zz_221
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1015"
  wire width 32 \murax.system_cpu._zz_222
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1016"
  wire width 32 \murax.system_cpu._zz_223
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1017"
  wire width 32 \murax.system_cpu._zz_224
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1018"
  wire width 32 \murax.system_cpu._zz_225
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1019"
  wire width 32 \murax.system_cpu._zz_226
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1020"
  wire width 32 \murax.system_cpu._zz_227
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1021"
  wire width 32 \murax.system_cpu._zz_228
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1022"
  wire width 32 \murax.system_cpu._zz_229
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1137"
  wire \murax.system_cpu._zz_23
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1023"
  wire \murax.system_cpu._zz_230
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1024"
  wire \murax.system_cpu._zz_231
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1025"
  wire \murax.system_cpu._zz_232
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1026"
  wire \murax.system_cpu._zz_233
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1027"
  wire \murax.system_cpu._zz_234
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1028"
  wire \murax.system_cpu._zz_235
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1029"
  wire \murax.system_cpu._zz_236
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1030"
  wire width 9 \murax.system_cpu._zz_237
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1031"
  wire width 32 \murax.system_cpu._zz_238
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1032"
  wire width 32 \murax.system_cpu._zz_239
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1138"
  wire width 32 \murax.system_cpu._zz_24
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1033"
  wire \murax.system_cpu._zz_240
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1034"
  wire \murax.system_cpu._zz_241
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1035"
  wire width 2 \murax.system_cpu._zz_242
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1036"
  wire width 2 \murax.system_cpu._zz_243
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1037"
  wire \murax.system_cpu._zz_244
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1038"
  wire \murax.system_cpu._zz_245
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1039"
  wire width 6 \murax.system_cpu._zz_246
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1040"
  wire width 32 \murax.system_cpu._zz_247
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1041"
  wire width 32 \murax.system_cpu._zz_248
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1042"
  wire width 32 \murax.system_cpu._zz_249
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1139"
  wire width 32 \murax.system_cpu._zz_25
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1043"
  wire width 32 \murax.system_cpu._zz_250
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1044"
  wire width 32 \murax.system_cpu._zz_251
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1045"
  wire width 32 \murax.system_cpu._zz_252
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1046"
  wire \murax.system_cpu._zz_253
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1047"
  wire \murax.system_cpu._zz_254
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1048"
  wire \murax.system_cpu._zz_255
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1049"
  wire \murax.system_cpu._zz_256
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1050"
  wire \murax.system_cpu._zz_257
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1051"
  wire width 3 \murax.system_cpu._zz_258
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1052"
  wire width 32 \murax.system_cpu._zz_259
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1142"
  wire width 32 \murax.system_cpu._zz_26
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1053"
  wire width 32 \murax.system_cpu._zz_260
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1054"
  wire width 32 \murax.system_cpu._zz_261
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1055"
  wire \murax.system_cpu._zz_262
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1056"
  wire \murax.system_cpu._zz_263
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1057"
  wire width 2 \murax.system_cpu._zz_264
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1058"
  wire width 2 \murax.system_cpu._zz_265
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1059"
  wire width 4 \murax.system_cpu._zz_266
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1060"
  wire width 4 \murax.system_cpu._zz_267
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1061"
  wire width 32 \murax.system_cpu._zz_268
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1062"
  wire width 32 \murax.system_cpu._zz_269
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1143"
  wire width 32 \murax.system_cpu._zz_27
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1063"
  wire width 32 \murax.system_cpu._zz_270
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1064"
  wire width 32 \murax.system_cpu._zz_271
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1065"
  wire width 32 \murax.system_cpu._zz_272
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1066"
  wire \murax.system_cpu._zz_273
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1145"
  wire width 2 \murax.system_cpu._zz_28
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1146"
  wire width 32 \murax.system_cpu._zz_29
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1078"
  wire width 2 \murax.system_cpu._zz_3
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1147"
  wire width 32 \murax.system_cpu._zz_30
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1149"
  wire width 2 \murax.system_cpu._zz_31
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1150"
  wire width 32 \murax.system_cpu._zz_32
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1154"
  wire width 2 \murax.system_cpu._zz_33
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1155"
  wire width 32 \murax.system_cpu._zz_34
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1158"
  wire width 2 \murax.system_cpu._zz_35
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1159"
  wire width 32 \murax.system_cpu._zz_36
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1160"
  wire \murax.system_cpu._zz_37
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1161"
  wire \murax.system_cpu._zz_38
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1162"
  wire width 32 \murax.system_cpu._zz_39
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1080"
  wire width 2 \murax.system_cpu._zz_4
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1163"
  wire width 32 \murax.system_cpu._zz_40
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1166"
  wire width 2 \murax.system_cpu._zz_41
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1167"
  wire \murax.system_cpu._zz_42
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1168"
  wire width 2 \murax.system_cpu._zz_43
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1169"
  wire \murax.system_cpu._zz_44
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1170"
  wire width 2 \murax.system_cpu._zz_45
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1171"
  wire \murax.system_cpu._zz_46
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1172"
  wire width 2 \murax.system_cpu._zz_47
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1173"
  wire \murax.system_cpu._zz_48
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1174"
  wire \murax.system_cpu._zz_49
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1081"
  wire width 2 \murax.system_cpu._zz_5
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1175"
  wire width 2 \murax.system_cpu._zz_50
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1176"
  wire \murax.system_cpu._zz_51
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1177"
  wire width 2 \murax.system_cpu._zz_52
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1178"
  wire width 2 \murax.system_cpu._zz_53
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1179"
  wire \murax.system_cpu._zz_54
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1180"
  wire \murax.system_cpu._zz_55
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1181"
  wire \murax.system_cpu._zz_56
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1182"
  wire \murax.system_cpu._zz_57
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1183"
  wire width 32 \murax.system_cpu._zz_58
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1190"
  wire \murax.system_cpu._zz_59
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1082"
  wire width 2 \murax.system_cpu._zz_6
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1191"
  wire \murax.system_cpu._zz_60
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1193"
  wire width 2 \murax.system_cpu._zz_61
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1195"
  wire width 32 \murax.system_cpu._zz_62
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1201"
  wire width 32 \murax.system_cpu._zz_63
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1202"
  wire width 2 \murax.system_cpu._zz_64
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1208"
  wire \murax.system_cpu._zz_65
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1209"
  wire width 32 \murax.system_cpu._zz_66
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1210"
  wire width 32 \murax.system_cpu._zz_67
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1211"
  wire width 32 \murax.system_cpu._zz_68
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1212"
  wire width 32 \murax.system_cpu._zz_69
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1087"
  wire width 2 \murax.system_cpu._zz_7
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1213"
  wire width 32 \murax.system_cpu._zz_70
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1215"
  wire width 32 \murax.system_cpu._zz_71
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1216"
  wire width 32 \murax.system_cpu._zz_72
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1217"
  wire width 32 \murax.system_cpu._zz_73
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1288"
  wire \murax.system_cpu._zz_74
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1289"
  wire width 32 \murax.system_cpu._zz_75
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1291"
  wire width 2 \murax.system_cpu._zz_76
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1292"
  wire \murax.system_cpu._zz_77
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1293"
  wire \murax.system_cpu._zz_78
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1298"
  wire width 2 \murax.system_cpu._zz_79
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1088"
  wire width 2 \murax.system_cpu._zz_8
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1299"
  wire \murax.system_cpu._zz_80
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1301"
  wire \murax.system_cpu._zz_81
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1302"
  wire width 32 \murax.system_cpu._zz_82
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1303"
  wire width 32 \murax.system_cpu._zz_83
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1304"
  wire width 4 \murax.system_cpu._zz_84
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1307"
  wire \murax.system_cpu._zz_85
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1308"
  wire width 32 \murax.system_cpu._zz_86
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1309"
  wire \murax.system_cpu._zz_87
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1310"
  wire width 32 \murax.system_cpu._zz_88
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1336"
  wire width 32 \murax.system_cpu._zz_89
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1089"
  wire width 2 \murax.system_cpu._zz_9
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1337"
  wire \murax.system_cpu._zz_90
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1348"
  wire width 24 \murax.system_cpu._zz_91
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1349"
  wire \murax.system_cpu._zz_92
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1350"
  wire \murax.system_cpu._zz_93
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1351"
  wire \murax.system_cpu._zz_94
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1352"
  wire \murax.system_cpu._zz_95
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1353"
  wire \murax.system_cpu._zz_96
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1354"
  wire \murax.system_cpu._zz_97
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1355"
  wire width 2 \murax.system_cpu._zz_98
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1356"
  wire width 2 \murax.system_cpu._zz_99
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1290"
  wire \murax.system_cpu.contextSwitching
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:917"
  wire width 32 \murax.system_cpu.dBus_cmd_payload_address
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:918"
  wire width 32 \murax.system_cpu.dBus_cmd_payload_data
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:919"
  wire width 2 \murax.system_cpu.dBus_cmd_payload_size
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:916"
  wire \murax.system_cpu.dBus_cmd_payload_wr
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:915"
  wire \murax.system_cpu.dBus_cmd_ready
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:914"
  wire \murax.system_cpu.dBus_cmd_valid
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:922"
  wire width 32 \murax.system_cpu.dBus_rsp_data
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:921"
  wire \murax.system_cpu.dBus_rsp_error
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:920"
  wire \murax.system_cpu.dBus_rsp_ready
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:904"
  wire width 8 \murax.system_cpu.debug_bus_cmd_payload_address
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:905"
  wire width 32 \murax.system_cpu.debug_bus_cmd_payload_data
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:903"
  wire \murax.system_cpu.debug_bus_cmd_payload_wr
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:902"
  wire \murax.system_cpu.debug_bus_cmd_ready
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:901"
  wire \murax.system_cpu.debug_bus_cmd_valid
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:906"
  wire width 32 \murax.system_cpu.debug_bus_rsp_data
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:907"
  wire \murax.system_cpu.debug_resetOut
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1104"
  wire width 2 \murax.system_cpu.decode_ALU_BITWISE_CTRL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1086"
  wire width 2 \murax.system_cpu.decode_ALU_CTRL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1079"
  wire width 2 \murax.system_cpu.decode_BRANCH_CTRL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1114"
  wire \murax.system_cpu.decode_BYPASSABLE_EXECUTE_STAGE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1112"
  wire \murax.system_cpu.decode_BYPASSABLE_MEMORY_STAGE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1108"
  wire \murax.system_cpu.decode_CSR_READ_OPCODE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1115"
  wire \murax.system_cpu.decode_CSR_WRITE_OPCODE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1097"
  wire width 2 \murax.system_cpu.decode_ENV_CTRL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1071"
  wire width 32 \murax.system_cpu.decode_FORMAL_PC_NEXT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1221"
  wire width 32 \murax.system_cpu.decode_INSTRUCTION
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1164"
  wire width 32 \murax.system_cpu.decode_INSTRUCTION_ANTICIPATED
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1189"
  wire \murax.system_cpu.decode_IS_CSR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1118"
  wire \murax.system_cpu.decode_IS_EBREAK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1101"
  wire \murax.system_cpu.decode_MEMORY_ENABLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1220"
  wire width 32 \murax.system_cpu.decode_PC
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1165"
  wire \murax.system_cpu.decode_REGFILE_WRITE_VALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1084"
  wire width 32 \murax.system_cpu.decode_RS1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1129"
  wire \murax.system_cpu.decode_RS1_USE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1103"
  wire width 32 \murax.system_cpu.decode_RS2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1128"
  wire \murax.system_cpu.decode_RS2_USE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1362"
  wire width 5 \murax.system_cpu.decode_RegFilePlugin_regFileReadAddress1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1363"
  wire width 5 \murax.system_cpu.decode_RegFilePlugin_regFileReadAddress2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1364"
  wire width 32 \murax.system_cpu.decode_RegFilePlugin_rs1Data
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1366"
  wire width 32 \murax.system_cpu.decode_RegFilePlugin_rs2Data
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1075"
  wire width 2 \murax.system_cpu.decode_SHIFT_CTRL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1092"
  wire width 32 \murax.system_cpu.decode_SRC1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1148"
  wire width 2 \murax.system_cpu.decode_SRC1_CTRL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1102"
  wire width 32 \murax.system_cpu.decode_SRC2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1144"
  wire width 2 \murax.system_cpu.decode_SRC2_CTRL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1090"
  wire \murax.system_cpu.decode_SRC_LESS_UNSIGNED
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1113"
  wire \murax.system_cpu.decode_SRC_USE_SUB_LESS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1247"
  wire \murax.system_cpu.decode_arbitration_flushAll
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1245"
  wire \murax.system_cpu.decode_arbitration_haltByOther
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1244"
  wire \murax.system_cpu.decode_arbitration_haltItself
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1254"
  wire \murax.system_cpu.decode_arbitration_isFiring
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1252"
  wire \murax.system_cpu.decode_arbitration_isFlushed
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1253"
  wire \murax.system_cpu.decode_arbitration_isMoving
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1250"
  wire \murax.system_cpu.decode_arbitration_isStuck
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1251"
  wire \murax.system_cpu.decode_arbitration_isStuckByOthers
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1249"
  wire \murax.system_cpu.decode_arbitration_isValid
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1248"
  wire \murax.system_cpu.decode_arbitration_redoIt
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1246"
  wire \murax.system_cpu.decode_arbitration_removeIt
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1434"
  wire width 2 \murax.system_cpu.decode_to_execute_ALU_BITWISE_CTRL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1445"
  wire width 2 \murax.system_cpu.decode_to_execute_ALU_CTRL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1450"
  wire width 2 \murax.system_cpu.decode_to_execute_BRANCH_CTRL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1427"
  wire \murax.system_cpu.decode_to_execute_BYPASSABLE_EXECUTE_STAGE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1429"
  wire \murax.system_cpu.decode_to_execute_BYPASSABLE_MEMORY_STAGE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1433"
  wire \murax.system_cpu.decode_to_execute_CSR_READ_OPCODE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1426"
  wire \murax.system_cpu.decode_to_execute_CSR_WRITE_OPCODE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1440"
  wire width 2 \murax.system_cpu.decode_to_execute_ENV_CTRL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1461"
  wire width 32 \murax.system_cpu.decode_to_execute_FORMAL_PC_NEXT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1456"
  wire width 32 \murax.system_cpu.decode_to_execute_INSTRUCTION
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1448"
  wire \murax.system_cpu.decode_to_execute_IS_CSR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1420"
  wire \murax.system_cpu.decode_to_execute_IS_EBREAK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1437"
  wire \murax.system_cpu.decode_to_execute_MEMORY_ENABLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1423"
  wire width 32 \murax.system_cpu.decode_to_execute_PC
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1451"
  wire \murax.system_cpu.decode_to_execute_REGFILE_WRITE_VALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1447"
  wire width 32 \murax.system_cpu.decode_to_execute_RS1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1435"
  wire width 32 \murax.system_cpu.decode_to_execute_RS2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1454"
  wire width 2 \murax.system_cpu.decode_to_execute_SHIFT_CTRL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1442"
  wire width 32 \murax.system_cpu.decode_to_execute_SRC1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1436"
  wire width 32 \murax.system_cpu.decode_to_execute_SRC2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1444"
  wire \murax.system_cpu.decode_to_execute_SRC_LESS_UNSIGNED
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1428"
  wire \murax.system_cpu.decode_to_execute_SRC_USE_SUB_LESS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1206"
  wire \murax.system_cpu.execute_ALIGNEMENT_FAULT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1157"
  wire width 2 \murax.system_cpu.execute_ALU_BITWISE_CTRL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1153"
  wire width 2 \murax.system_cpu.execute_ALU_CTRL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1091"
  wire width 32 \murax.system_cpu.execute_BRANCH_CALC
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1125"
  wire width 2 \murax.system_cpu.execute_BRANCH_CTRL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1085"
  wire \murax.system_cpu.execute_BRANCH_DO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1131"
  wire \murax.system_cpu.execute_BYPASSABLE_EXECUTE_STAGE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1111"
  wire \murax.system_cpu.execute_BYPASSABLE_MEMORY_STAGE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1406"
  wire width 32 \murax.system_cpu.execute_BranchPlugin_branchAdder
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1397"
  wire width 32 \murax.system_cpu.execute_BranchPlugin_branch_src1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1405"
  wire width 32 \murax.system_cpu.execute_BranchPlugin_branch_src2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1393"
  wire \murax.system_cpu.execute_BranchPlugin_eq
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1184"
  wire \murax.system_cpu.execute_CSR_READ_OPCODE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1185"
  wire \murax.system_cpu.execute_CSR_WRITE_OPCODE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1347"
  wire width 12 \murax.system_cpu.execute_CsrPlugin_csrAddress
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1338"
  wire \murax.system_cpu.execute_CsrPlugin_illegalAccess
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1340"
  wire width 32 \murax.system_cpu.execute_CsrPlugin_readData
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1341"
  wire \murax.system_cpu.execute_CsrPlugin_readDataRegValid
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1346"
  wire \murax.system_cpu.execute_CsrPlugin_readEnable
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1344"
  wire \murax.system_cpu.execute_CsrPlugin_readInstruction
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1342"
  wire width 32 \murax.system_cpu.execute_CsrPlugin_writeData
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1345"
  wire \murax.system_cpu.execute_CsrPlugin_writeEnable
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1343"
  wire \murax.system_cpu.execute_CsrPlugin_writeInstruction
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1339"
  wire width 32 \murax.system_cpu.execute_CsrPlugin_writeSrc
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1305"
  wire width 4 \murax.system_cpu.execute_DBusSimplePlugin_formalMask
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1093"
  wire width 2 \murax.system_cpu.execute_ENV_CTRL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1070"
  wire width 32 \murax.system_cpu.execute_FORMAL_PC_NEXT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1205"
  wire width 32 \murax.system_cpu.execute_INSTRUCTION
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1188"
  wire \murax.system_cpu.execute_IS_CSR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1119"
  wire \murax.system_cpu.execute_IS_EBREAK
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1372"
  wire width 32 \murax.system_cpu.execute_IntAluPlugin_bitwise
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1385"
  wire width 5 \murax.system_cpu.execute_LightShifterPlugin_amplitude
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1384"
  wire width 5 \murax.system_cpu.execute_LightShifterPlugin_amplitudeReg
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1387"
  wire \murax.system_cpu.execute_LightShifterPlugin_done
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1382"
  wire \murax.system_cpu.execute_LightShifterPlugin_isActive
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1383"
  wire \murax.system_cpu.execute_LightShifterPlugin_isShift
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1386"
  wire width 32 \murax.system_cpu.execute_LightShifterPlugin_shiftInput
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1068"
  wire width 2 \murax.system_cpu.execute_MEMORY_ADDRESS_LOW
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1207"
  wire \murax.system_cpu.execute_MEMORY_ENABLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1123"
  wire width 32 \murax.system_cpu.execute_PC
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1110"
  wire width 32 \murax.system_cpu.execute_REGFILE_WRITE_DATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1130"
  wire \murax.system_cpu.execute_REGFILE_WRITE_VALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1124"
  wire width 32 \murax.system_cpu.execute_RS1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1203"
  wire width 32 \murax.system_cpu.execute_RS2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1135"
  wire width 2 \murax.system_cpu.execute_SHIFT_CTRL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1187"
  wire width 32 \murax.system_cpu.execute_SRC1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1156"
  wire width 32 \murax.system_cpu.execute_SRC2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1204"
  wire width 32 \murax.system_cpu.execute_SRC_ADD
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1151"
  wire width 32 \murax.system_cpu.execute_SRC_ADD_SUB
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1152"
  wire \murax.system_cpu.execute_SRC_LESS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1140"
  wire \murax.system_cpu.execute_SRC_LESS_UNSIGNED
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1141"
  wire \murax.system_cpu.execute_SRC_USE_SUB_LESS
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1380"
  wire width 32 \murax.system_cpu.execute_SrcPlugin_addSub
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1381"
  wire \murax.system_cpu.execute_SrcPlugin_less
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1258"
  wire \murax.system_cpu.execute_arbitration_flushAll
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1256"
  wire \murax.system_cpu.execute_arbitration_haltByOther
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1255"
  wire \murax.system_cpu.execute_arbitration_haltItself
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1265"
  wire \murax.system_cpu.execute_arbitration_isFiring
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1263"
  wire \murax.system_cpu.execute_arbitration_isFlushed
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1264"
  wire \murax.system_cpu.execute_arbitration_isMoving
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1261"
  wire \murax.system_cpu.execute_arbitration_isStuck
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1262"
  wire \murax.system_cpu.execute_arbitration_isStuckByOthers
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1260"
  wire \murax.system_cpu.execute_arbitration_isValid
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1259"
  wire \murax.system_cpu.execute_arbitration_redoIt
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1257"
  wire \murax.system_cpu.execute_arbitration_removeIt
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1443"
  wire width 32 \murax.system_cpu.execute_to_memory_BRANCH_CALC
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1446"
  wire \murax.system_cpu.execute_to_memory_BRANCH_DO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1430"
  wire \murax.system_cpu.execute_to_memory_BYPASSABLE_MEMORY_STAGE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1441"
  wire width 2 \murax.system_cpu.execute_to_memory_ENV_CTRL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1462"
  wire width 32 \murax.system_cpu.execute_to_memory_FORMAL_PC_NEXT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1457"
  wire width 32 \murax.system_cpu.execute_to_memory_INSTRUCTION
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1463"
  wire width 2 \murax.system_cpu.execute_to_memory_MEMORY_ADDRESS_LOW
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1438"
  wire \murax.system_cpu.execute_to_memory_MEMORY_ENABLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1424"
  wire width 32 \murax.system_cpu.execute_to_memory_PC
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1431"
  wire width 32 \murax.system_cpu.execute_to_memory_REGFILE_WRITE_DATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1452"
  wire \murax.system_cpu.execute_to_memory_REGFILE_WRITE_VALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:900"
  wire \murax.system_cpu.externalInterrupt
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1072"
  wire width 32 \murax.system_cpu.fetch_FORMAL_PC_NEXT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1074"
  wire width 32 \murax.system_cpu.fetch_INSTRUCTION
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1117"
  wire width 32 \murax.system_cpu.fetch_PC
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1236"
  wire \murax.system_cpu.fetch_arbitration_flushAll
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1234"
  wire \murax.system_cpu.fetch_arbitration_haltByOther
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1233"
  wire \murax.system_cpu.fetch_arbitration_haltItself
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1243"
  wire \murax.system_cpu.fetch_arbitration_isFiring
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1241"
  wire \murax.system_cpu.fetch_arbitration_isFlushed
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1242"
  wire \murax.system_cpu.fetch_arbitration_isMoving
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1239"
  wire \murax.system_cpu.fetch_arbitration_isStuck
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1240"
  wire \murax.system_cpu.fetch_arbitration_isStuckByOthers
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1238"
  wire \murax.system_cpu.fetch_arbitration_isValid
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1237"
  wire \murax.system_cpu.fetch_arbitration_redoIt
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1235"
  wire \murax.system_cpu.fetch_arbitration_removeIt
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1460"
  wire width 32 \murax.system_cpu.fetch_to_decode_FORMAL_PC_NEXT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1455"
  wire width 32 \murax.system_cpu.fetch_to_decode_INSTRUCTION
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1422"
  wire width 32 \murax.system_cpu.fetch_to_decode_PC
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:910"
  wire width 32 \murax.system_cpu.iBus_cmd_payload_pc
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:909"
  wire \murax.system_cpu.iBus_cmd_ready
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:908"
  wire \murax.system_cpu.iBus_cmd_valid
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:912"
  wire \murax.system_cpu.iBus_rsp_error
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:913"
  wire width 32 \murax.system_cpu.iBus_rsp_inst
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:911"
  wire \murax.system_cpu.iBus_rsp_ready
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:923"
  wire \murax.system_cpu.io_mainClk
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1120"
  wire width 32 \murax.system_cpu.memory_BRANCH_CALC
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1121"
  wire \murax.system_cpu.memory_BRANCH_DO
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1133"
  wire \murax.system_cpu.memory_BYPASSABLE_MEMORY_STAGE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1192"
  wire width 2 \murax.system_cpu.memory_ENV_CTRL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1069"
  wire width 32 \murax.system_cpu.memory_FORMAL_PC_NEXT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1199"
  wire width 32 \murax.system_cpu.memory_INSTRUCTION
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1067"
  wire width 2 \murax.system_cpu.memory_MEMORY_ADDRESS_LOW
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1200"
  wire \murax.system_cpu.memory_MEMORY_ENABLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1083"
  wire width 32 \murax.system_cpu.memory_MEMORY_READ_DATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1116"
  wire width 32 \murax.system_cpu.memory_PC
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1186"
  wire width 32 \murax.system_cpu.memory_REGFILE_WRITE_DATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1132"
  wire \murax.system_cpu.memory_REGFILE_WRITE_VALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1269"
  wire \murax.system_cpu.memory_arbitration_flushAll
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1267"
  wire \murax.system_cpu.memory_arbitration_haltByOther
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1266"
  wire \murax.system_cpu.memory_arbitration_haltItself
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1276"
  wire \murax.system_cpu.memory_arbitration_isFiring
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1274"
  wire \murax.system_cpu.memory_arbitration_isFlushed
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1275"
  wire \murax.system_cpu.memory_arbitration_isMoving
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1272"
  wire \murax.system_cpu.memory_arbitration_isStuck
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1273"
  wire \murax.system_cpu.memory_arbitration_isStuckByOthers
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1271"
  wire \murax.system_cpu.memory_arbitration_isValid
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1270"
  wire \murax.system_cpu.memory_arbitration_redoIt
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1268"
  wire \murax.system_cpu.memory_arbitration_removeIt
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1458"
  wire width 32 \murax.system_cpu.memory_to_writeBack_INSTRUCTION
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1464"
  wire width 2 \murax.system_cpu.memory_to_writeBack_MEMORY_ADDRESS_LOW
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1439"
  wire \murax.system_cpu.memory_to_writeBack_MEMORY_ENABLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1449"
  wire width 32 \murax.system_cpu.memory_to_writeBack_MEMORY_READ_DATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1425"
  wire width 32 \murax.system_cpu.memory_to_writeBack_PC
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1432"
  wire width 32 \murax.system_cpu.memory_to_writeBack_REGFILE_WRITE_DATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1453"
  wire \murax.system_cpu.memory_to_writeBack_REGFILE_WRITE_VALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1073"
  wire width 32 \murax.system_cpu.prefetch_FORMAL_PC_NEXT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1300"
  wire \murax.system_cpu.prefetch_IBusSimplePlugin_pendingCmd
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1214"
  wire width 32 \murax.system_cpu.prefetch_PC
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1194"
  wire width 32 \murax.system_cpu.prefetch_PC_CALC_WITHOUT_JUMP
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1297"
  wire width 32 \murax.system_cpu.prefetch_PcManagerSimplePlugin_jump_pcLoad_payload
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1296"
  wire \murax.system_cpu.prefetch_PcManagerSimplePlugin_jump_pcLoad_valid
  attribute \keep 1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1295"
  attribute \syn_keep 1
  wire width 32 \murax.system_cpu.prefetch_PcManagerSimplePlugin_pcPlus4
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1294"
  wire width 32 \murax.system_cpu.prefetch_PcManagerSimplePlugin_pcReg
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1225"
  wire \murax.system_cpu.prefetch_arbitration_flushAll
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1223"
  wire \murax.system_cpu.prefetch_arbitration_haltByOther
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1222"
  wire \murax.system_cpu.prefetch_arbitration_haltItself
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1232"
  wire \murax.system_cpu.prefetch_arbitration_isFiring
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1230"
  wire \murax.system_cpu.prefetch_arbitration_isFlushed
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1231"
  wire \murax.system_cpu.prefetch_arbitration_isMoving
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1228"
  wire \murax.system_cpu.prefetch_arbitration_isStuck
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1229"
  wire \murax.system_cpu.prefetch_arbitration_isStuckByOthers
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1227"
  wire \murax.system_cpu.prefetch_arbitration_isValid
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1226"
  wire \murax.system_cpu.prefetch_arbitration_redoIt
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1224"
  wire \murax.system_cpu.prefetch_arbitration_removeIt
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1459"
  wire width 32 \murax.system_cpu.prefetch_to_fetch_FORMAL_PC_NEXT
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1421"
  wire width 32 \murax.system_cpu.prefetch_to_fetch_PC
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:925"
  wire \murax.system_cpu.resetCtrl_mainClkReset
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:924"
  wire \murax.system_cpu.resetCtrl_systemReset
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:899"
  wire \murax.system_cpu.timerInterrupt
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1311"
  wire width 32 \murax.system_cpu.writeBack_DBusSimplePlugin_rspFormated
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1306"
  wire width 32 \murax.system_cpu.writeBack_DBusSimplePlugin_rspShifted
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1219"
  wire width 32 \murax.system_cpu.writeBack_INSTRUCTION
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1197"
  wire width 2 \murax.system_cpu.writeBack_MEMORY_ADDRESS_LOW
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1196"
  wire \murax.system_cpu.writeBack_MEMORY_ENABLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1198"
  wire width 32 \murax.system_cpu.writeBack_MEMORY_READ_DATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1218"
  wire width 32 \murax.system_cpu.writeBack_PC
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1109"
  wire width 32 \murax.system_cpu.writeBack_REGFILE_WRITE_DATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1134"
  wire \murax.system_cpu.writeBack_REGFILE_WRITE_VALID
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1369"
  wire width 5 \murax.system_cpu.writeBack_RegFilePlugin_regFileWrite_payload_address
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1370"
  wire width 32 \murax.system_cpu.writeBack_RegFilePlugin_regFileWrite_payload_data
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1368"
  wire \murax.system_cpu.writeBack_RegFilePlugin_regFileWrite_valid
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1280"
  wire \murax.system_cpu.writeBack_arbitration_flushAll
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1278"
  wire \murax.system_cpu.writeBack_arbitration_haltByOther
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1277"
  wire \murax.system_cpu.writeBack_arbitration_haltItself
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1287"
  wire \murax.system_cpu.writeBack_arbitration_isFiring
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1285"
  wire \murax.system_cpu.writeBack_arbitration_isFlushed
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1286"
  wire \murax.system_cpu.writeBack_arbitration_isMoving
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1283"
  wire \murax.system_cpu.writeBack_arbitration_isStuck
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1284"
  wire \murax.system_cpu.writeBack_arbitration_isStuckByOthers
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1282"
  wire \murax.system_cpu.writeBack_arbitration_isValid
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1281"
  wire \murax.system_cpu.writeBack_arbitration_redoIt
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1279"
  wire \murax.system_cpu.writeBack_arbitration_removeIt
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4172"
  wire \murax.system_externalInterrupt
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4329|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3433"
  wire width 32 \murax.system_gpioACtrl._zz_1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4329|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3434"
  wire width 32 \murax.system_gpioACtrl._zz_2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4329|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3428"
  wire \murax.system_gpioACtrl._zz_3
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4329|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3430"
  wire \murax.system_gpioACtrl.ctrl_askRead
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4329|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3429"
  wire \murax.system_gpioACtrl.ctrl_askWrite
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4329|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3432"
  wire \murax.system_gpioACtrl.ctrl_doRead
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4329|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3431"
  wire \murax.system_gpioACtrl.ctrl_doWrite
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4329|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3415"
  wire width 4 \murax.system_gpioACtrl.io_apb_PADDR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4329|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3417"
  wire \murax.system_gpioACtrl.io_apb_PENABLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4329|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3421"
  wire width 32 \murax.system_gpioACtrl.io_apb_PRDATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4329|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3418"
  wire \murax.system_gpioACtrl.io_apb_PREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4329|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3416"
  wire \murax.system_gpioACtrl.io_apb_PSEL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4329|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3422"
  wire \murax.system_gpioACtrl.io_apb_PSLVERROR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4329|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3420"
  wire width 32 \murax.system_gpioACtrl.io_apb_PWDATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4329|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3419"
  wire \murax.system_gpioACtrl.io_apb_PWRITE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4329|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3423"
  wire width 32 \murax.system_gpioACtrl.io_gpio_read
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4329|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3424"
  wire width 32 \murax.system_gpioACtrl.io_gpio_write
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4329|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3425"
  wire width 32 \murax.system_gpioACtrl.io_gpio_writeEnable
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4329|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3426"
  wire \murax.system_gpioACtrl.io_mainClk
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4329|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3427"
  wire \murax.system_gpioACtrl.resetCtrl_systemReset
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:841"
  wire width 4 \murax.system_mainBusArbiter._zz_1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:839"
  wire \murax.system_mainBusArbiter._zz_2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:840"
  wire \murax.system_mainBusArbiter._zz_3
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:823"
  wire width 32 \murax.system_mainBusArbiter.io_dBus_cmd_payload_address
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:824"
  wire width 32 \murax.system_mainBusArbiter.io_dBus_cmd_payload_data
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:825"
  wire width 2 \murax.system_mainBusArbiter.io_dBus_cmd_payload_size
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:822"
  wire \murax.system_mainBusArbiter.io_dBus_cmd_payload_wr
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:821"
  wire \murax.system_mainBusArbiter.io_dBus_cmd_ready
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:820"
  wire \murax.system_mainBusArbiter.io_dBus_cmd_valid
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:828"
  wire width 32 \murax.system_mainBusArbiter.io_dBus_rsp_data
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:827"
  wire \murax.system_mainBusArbiter.io_dBus_rsp_error
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:826"
  wire \murax.system_mainBusArbiter.io_dBus_rsp_ready
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:816"
  wire width 32 \murax.system_mainBusArbiter.io_iBus_cmd_payload_pc
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:815"
  wire \murax.system_mainBusArbiter.io_iBus_cmd_ready
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:814"
  wire \murax.system_mainBusArbiter.io_iBus_cmd_valid
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:818"
  wire \murax.system_mainBusArbiter.io_iBus_rsp_error
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:819"
  wire width 32 \murax.system_mainBusArbiter.io_iBus_rsp_inst
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:817"
  wire \murax.system_mainBusArbiter.io_iBus_rsp_ready
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:837"
  wire \murax.system_mainBusArbiter.io_mainClk
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:832"
  wire width 32 \murax.system_mainBusArbiter.io_masterBus_cmd_payload_address
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:833"
  wire width 32 \murax.system_mainBusArbiter.io_masterBus_cmd_payload_data
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:834"
  wire width 4 \murax.system_mainBusArbiter.io_masterBus_cmd_payload_mask
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:831"
  wire \murax.system_mainBusArbiter.io_masterBus_cmd_payload_wr
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:830"
  wire \murax.system_mainBusArbiter.io_masterBus_cmd_ready
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:829"
  wire \murax.system_mainBusArbiter.io_masterBus_cmd_valid
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:836"
  wire width 32 \murax.system_mainBusArbiter.io_masterBus_rsp_payload_data
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:835"
  wire \murax.system_mainBusArbiter.io_masterBus_rsp_valid
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:838"
  wire \murax.system_mainBusArbiter.resetCtrl_systemReset
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:842"
  wire \murax.system_mainBusArbiter.rspPending
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:843"
  wire \murax.system_mainBusArbiter.rspTarget
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4190"
  wire \murax.system_mainBusDecoder_logic_hits_0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4191"
  wire \murax.system_mainBusDecoder_logic_hits_1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4185"
  wire width 32 \murax.system_mainBusDecoder_logic_masterPipelined_cmd_payload_address
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4186"
  wire width 32 \murax.system_mainBusDecoder_logic_masterPipelined_cmd_payload_data
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4187"
  wire width 4 \murax.system_mainBusDecoder_logic_masterPipelined_cmd_payload_mask
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4184"
  wire \murax.system_mainBusDecoder_logic_masterPipelined_cmd_payload_wr
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4183"
  wire \murax.system_mainBusDecoder_logic_masterPipelined_cmd_ready
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4182"
  wire \murax.system_mainBusDecoder_logic_masterPipelined_cmd_valid
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4189"
  wire width 32 \murax.system_mainBusDecoder_logic_masterPipelined_rsp_payload_data
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4188"
  wire \murax.system_mainBusDecoder_logic_masterPipelined_rsp_valid
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4192"
  wire \murax.system_mainBusDecoder_logic_noHit
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4194"
  wire \murax.system_mainBusDecoder_logic_rspNoHit
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4193"
  wire \murax.system_mainBusDecoder_logic_rspPending
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4195"
  wire \murax.system_mainBusDecoder_logic_rspSourceId
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3252"
  wire \murax.system_ram._zz_1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3262"
  wire width 8 \murax.system_ram._zz_10
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3253"
  wire width 30 \murax.system_ram._zz_2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3254"
  wire width 32 \murax.system_ram._zz_3
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3249"
  wire width 32 \murax.system_ram._zz_4
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3250"
  wire \murax.system_ram._zz_5
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3251"
  wire width 10 \murax.system_ram._zz_6
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3259"
  wire width 8 \murax.system_ram._zz_7
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3260"
  wire width 8 \murax.system_ram._zz_8
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3261"
  wire width 8 \murax.system_ram._zz_9
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3242"
  wire width 32 \murax.system_ram.io_bus_cmd_payload_address
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3243"
  wire width 32 \murax.system_ram.io_bus_cmd_payload_data
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3244"
  wire width 4 \murax.system_ram.io_bus_cmd_payload_mask
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3241"
  wire \murax.system_ram.io_bus_cmd_payload_wr
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3240"
  wire \murax.system_ram.io_bus_cmd_ready
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3239"
  wire \murax.system_ram.io_bus_cmd_valid
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3246"
  wire width 32 \murax.system_ram.io_bus_rsp_payload_data
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3245"
  wire \murax.system_ram.io_bus_rsp_valid
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3247"
  wire \murax.system_ram.io_mainClk
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3248"
  wire \murax.system_ram.resetCtrl_systemReset
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3703"
  wire width 16 \murax.system_timer._zz_1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3686"
  wire \murax.system_timer._zz_10
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3687"
  wire \murax.system_timer._zz_11
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3688"
  wire \murax.system_timer._zz_12
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3689"
  wire \murax.system_timer._zz_13
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3690"
  wire width 2 \murax.system_timer._zz_14
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3691"
  wire width 2 \murax.system_timer._zz_15
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3692"
  wire \murax.system_timer._zz_16
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3693"
  wire \murax.system_timer._zz_17
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3694"
  wire \murax.system_timer._zz_18
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3695"
  wire width 16 \murax.system_timer._zz_19
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3704"
  wire \murax.system_timer._zz_2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3696"
  wire \murax.system_timer._zz_20
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3697"
  wire width 16 \murax.system_timer._zz_21
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3698"
  wire width 2 \murax.system_timer._zz_22
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3708"
  wire width 16 \murax.system_timer._zz_3
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3709"
  wire \murax.system_timer._zz_4
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3710"
  wire \murax.system_timer._zz_5
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3714"
  wire width 16 \murax.system_timer._zz_6
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3715"
  wire \murax.system_timer._zz_7
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3716"
  wire \murax.system_timer._zz_8
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3717"
  wire width 2 \murax.system_timer._zz_9
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3700"
  wire \murax.system_timer.busCtrl_askRead
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3699"
  wire \murax.system_timer.busCtrl_askWrite
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3702"
  wire \murax.system_timer.busCtrl_doRead
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3701"
  wire \murax.system_timer.busCtrl_doWrite
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3744|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:782"
  wire width 2 \murax.system_timer.interruptCtrl_1.io_clears
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3744|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:781"
  wire width 2 \murax.system_timer.interruptCtrl_1.io_inputs
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3744|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:785"
  wire \murax.system_timer.interruptCtrl_1.io_mainClk
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3744|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:783"
  wire width 2 \murax.system_timer.interruptCtrl_1.io_masks
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3744|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:784"
  wire width 2 \murax.system_timer.interruptCtrl_1.io_pendings
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3744|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:787"
  wire width 2 \murax.system_timer.interruptCtrl_1.pendings
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3744|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:786"
  wire \murax.system_timer.interruptCtrl_1.resetCtrl_systemReset
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3675"
  wire width 8 \murax.system_timer.io_apb_PADDR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3677"
  wire \murax.system_timer.io_apb_PENABLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3681"
  wire width 32 \murax.system_timer.io_apb_PRDATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3678"
  wire \murax.system_timer.io_apb_PREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3676"
  wire \murax.system_timer.io_apb_PSEL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3682"
  wire \murax.system_timer.io_apb_PSLVERROR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3680"
  wire width 32 \murax.system_timer.io_apb_PWDATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3679"
  wire \murax.system_timer.io_apb_PWRITE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3683"
  wire \murax.system_timer.io_interrupt
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3684"
  wire \murax.system_timer.io_mainClk
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3719|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:722"
  wire \murax.system_timer.prescaler_1._zz_1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3719|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:723"
  wire width 16 \murax.system_timer.prescaler_1.counter
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3719|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:717"
  wire \murax.system_timer.prescaler_1.io_clear
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3719|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:718"
  wire width 16 \murax.system_timer.prescaler_1.io_limit
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3719|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:720"
  wire \murax.system_timer.prescaler_1.io_mainClk
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3719|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:719"
  wire \murax.system_timer.prescaler_1.io_overflow
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3719|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:721"
  wire \murax.system_timer.prescaler_1.resetCtrl_systemReset
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3685"
  wire \murax.system_timer.resetCtrl_systemReset
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3726|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:743"
  wire \murax.system_timer.timerA._zz_1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3726|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:744"
  wire width 16 \murax.system_timer.timerA._zz_2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3726|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:745"
  wire width 16 \murax.system_timer.timerA.counter
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3726|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:747"
  wire \murax.system_timer.timerA.inhibitFull
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3726|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:737"
  wire \murax.system_timer.timerA.io_clear
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3726|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:739"
  wire \murax.system_timer.timerA.io_full
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3726|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:738"
  wire width 16 \murax.system_timer.timerA.io_limit
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3726|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:741"
  wire \murax.system_timer.timerA.io_mainClk
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3726|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:736"
  wire \murax.system_timer.timerA.io_tick
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3726|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:740"
  wire width 16 \murax.system_timer.timerA.io_value
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3726|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:746"
  wire \murax.system_timer.timerA.limitHit
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3726|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:742"
  wire \murax.system_timer.timerA.resetCtrl_systemReset
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3707"
  wire \murax.system_timer.timerABridge_busClearing
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3706"
  wire \murax.system_timer.timerABridge_clearsEnable
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3705"
  wire width 2 \murax.system_timer.timerABridge_ticksEnable
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3735|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:743"
  wire \murax.system_timer.timerB._zz_1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3735|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:744"
  wire width 16 \murax.system_timer.timerB._zz_2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3735|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:745"
  wire width 16 \murax.system_timer.timerB.counter
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3735|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:747"
  wire \murax.system_timer.timerB.inhibitFull
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3735|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:737"
  wire \murax.system_timer.timerB.io_clear
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3735|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:739"
  wire \murax.system_timer.timerB.io_full
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3735|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:738"
  wire width 16 \murax.system_timer.timerB.io_limit
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3735|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:741"
  wire \murax.system_timer.timerB.io_mainClk
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3735|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:736"
  wire \murax.system_timer.timerB.io_tick
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3735|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:740"
  wire width 16 \murax.system_timer.timerB.io_value
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3735|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:746"
  wire \murax.system_timer.timerB.limitHit
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3735|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:742"
  wire \murax.system_timer.timerB.resetCtrl_systemReset
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3713"
  wire \murax.system_timer.timerBBridge_busClearing
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3712"
  wire \murax.system_timer.timerBBridge_clearsEnable
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3711"
  wire width 2 \murax.system_timer.timerBBridge_ticksEnable
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4171"
  wire \murax.system_timerInterrupt
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3541"
  wire \murax.system_uartCtrl._zz_1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3519"
  wire \murax.system_uartCtrl._zz_10
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3520"
  wire \murax.system_uartCtrl._zz_11
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3521"
  wire \murax.system_uartCtrl._zz_12
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3522"
  wire width 8 \murax.system_uartCtrl._zz_13
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3523"
  wire width 5 \murax.system_uartCtrl._zz_14
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3524"
  wire width 5 \murax.system_uartCtrl._zz_15
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3525"
  wire \murax.system_uartCtrl._zz_16
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3526"
  wire \murax.system_uartCtrl._zz_17
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3527"
  wire width 8 \murax.system_uartCtrl._zz_18
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3528"
  wire width 5 \murax.system_uartCtrl._zz_19
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3550"
  wire width 8 \murax.system_uartCtrl._zz_2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3529"
  wire width 5 \murax.system_uartCtrl._zz_20
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3530"
  wire \murax.system_uartCtrl._zz_21
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3531"
  wire \murax.system_uartCtrl._zz_22
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3532"
  wire width 5 \murax.system_uartCtrl._zz_23
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3557"
  wire width 20 \murax.system_uartCtrl._zz_24
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3512"
  wire \murax.system_uartCtrl._zz_3
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3513"
  wire \murax.system_uartCtrl._zz_4
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3514"
  wire \murax.system_uartCtrl._zz_5
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3515"
  wire \murax.system_uartCtrl._zz_6
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3516"
  wire \murax.system_uartCtrl._zz_7
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3517"
  wire \murax.system_uartCtrl._zz_8
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3518"
  wire width 8 \murax.system_uartCtrl._zz_9
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3549"
  wire \murax.system_uartCtrl.bridge_interruptCtrl_interrupt
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3547"
  wire \murax.system_uartCtrl.bridge_interruptCtrl_readInt
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3546"
  wire \murax.system_uartCtrl.bridge_interruptCtrl_readIntEnable
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3548"
  wire \murax.system_uartCtrl.bridge_interruptCtrl_writeInt
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3545"
  wire \murax.system_uartCtrl.bridge_interruptCtrl_writeIntEnable
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3540"
  wire width 20 \murax.system_uartCtrl.bridge_uartConfigReg_clockDivider
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3537"
  wire width 3 \murax.system_uartCtrl.bridge_uartConfigReg_frame_dataLength
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3539"
  wire width 2 \murax.system_uartCtrl.bridge_uartConfigReg_frame_parity
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3538"
  wire \murax.system_uartCtrl.bridge_uartConfigReg_frame_stop
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3544"
  wire width 8 \murax.system_uartCtrl.bridge_write_streamUnbuffered_payload
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3543"
  wire \murax.system_uartCtrl.bridge_write_streamUnbuffered_ready
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3542"
  wire \murax.system_uartCtrl.bridge_write_streamUnbuffered_valid
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3534"
  wire \murax.system_uartCtrl.busCtrl_askRead
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3533"
  wire \murax.system_uartCtrl.busCtrl_askWrite
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3536"
  wire \murax.system_uartCtrl.busCtrl_doRead
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3535"
  wire \murax.system_uartCtrl.busCtrl_doWrite
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3500"
  wire width 4 \murax.system_uartCtrl.io_apb_PADDR
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3502"
  wire \murax.system_uartCtrl.io_apb_PENABLE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3506"
  wire width 32 \murax.system_uartCtrl.io_apb_PRDATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3503"
  wire \murax.system_uartCtrl.io_apb_PREADY
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3501"
  wire \murax.system_uartCtrl.io_apb_PSEL
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3505"
  wire width 32 \murax.system_uartCtrl.io_apb_PWDATA
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3504"
  wire \murax.system_uartCtrl.io_apb_PWRITE
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3509"
  wire \murax.system_uartCtrl.io_interrupt
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3510"
  wire \murax.system_uartCtrl.io_mainClk
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3508"
  wire \murax.system_uartCtrl.io_uart_rxd
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3507"
  wire \murax.system_uartCtrl.io_uart_txd
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3511"
  wire \murax.system_uartCtrl.resetCtrl_systemReset
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:595"
  wire \murax.system_uartCtrl.streamFifo_2._zz_1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:593"
  wire width 4 \murax.system_uartCtrl.streamFifo_2._zz_10
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:594"
  wire width 4 \murax.system_uartCtrl.streamFifo_2._zz_11
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:614"
  wire \murax.system_uartCtrl.streamFifo_2._zz_2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:615"
  wire \murax.system_uartCtrl.streamFifo_2._zz_3
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:587"
  wire width 8 \murax.system_uartCtrl.streamFifo_2._zz_4
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:588"
  wire \murax.system_uartCtrl.streamFifo_2._zz_5
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:589"
  wire \murax.system_uartCtrl.streamFifo_2._zz_6
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:590"
  wire \murax.system_uartCtrl.streamFifo_2._zz_7
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:591"
  wire width 4 \murax.system_uartCtrl.streamFifo_2._zz_8
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:592"
  wire \murax.system_uartCtrl.streamFifo_2._zz_9
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:612"
  wire \murax.system_uartCtrl.streamFifo_2.empty
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:613"
  wire \murax.system_uartCtrl.streamFifo_2.full
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:584"
  wire width 5 \murax.system_uartCtrl.streamFifo_2.io_availability
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:582"
  wire \murax.system_uartCtrl.streamFifo_2.io_flush
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:585"
  wire \murax.system_uartCtrl.streamFifo_2.io_mainClk
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:583"
  wire width 5 \murax.system_uartCtrl.streamFifo_2.io_occupancy
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:581"
  wire width 8 \murax.system_uartCtrl.streamFifo_2.io_pop_payload
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:580"
  wire \murax.system_uartCtrl.streamFifo_2.io_pop_ready
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:579"
  wire \murax.system_uartCtrl.streamFifo_2.io_pop_valid
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:578"
  wire width 8 \murax.system_uartCtrl.streamFifo_2.io_push_payload
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:577"
  wire \murax.system_uartCtrl.streamFifo_2.io_push_ready
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:576"
  wire \murax.system_uartCtrl.streamFifo_2.io_push_valid
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:605"
  wire width 4 \murax.system_uartCtrl.streamFifo_2.popPtr_value
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:604"
  wire width 4 \murax.system_uartCtrl.streamFifo_2.popPtr_valueNext
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:603"
  wire \murax.system_uartCtrl.streamFifo_2.popPtr_willClear
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:602"
  wire \murax.system_uartCtrl.streamFifo_2.popPtr_willIncrement
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:607"
  wire \murax.system_uartCtrl.streamFifo_2.popPtr_willOverflow
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:606"
  wire \murax.system_uartCtrl.streamFifo_2.popPtr_willOverflowIfInc
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:611"
  wire \murax.system_uartCtrl.streamFifo_2.popping
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:616"
  wire width 4 \murax.system_uartCtrl.streamFifo_2.ptrDif
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:608"
  wire \murax.system_uartCtrl.streamFifo_2.ptrMatch
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:599"
  wire width 4 \murax.system_uartCtrl.streamFifo_2.pushPtr_value
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:598"
  wire width 4 \murax.system_uartCtrl.streamFifo_2.pushPtr_valueNext
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:597"
  wire \murax.system_uartCtrl.streamFifo_2.pushPtr_willClear
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:596"
  wire \murax.system_uartCtrl.streamFifo_2.pushPtr_willIncrement
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:601"
  wire \murax.system_uartCtrl.streamFifo_2.pushPtr_willOverflow
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:600"
  wire \murax.system_uartCtrl.streamFifo_2.pushPtr_willOverflowIfInc
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:610"
  wire \murax.system_uartCtrl.streamFifo_2.pushing
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:586"
  wire \murax.system_uartCtrl.streamFifo_2.resetCtrl_systemReset
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:609"
  wire \murax.system_uartCtrl.streamFifo_2.risingOccupancy
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:595"
  wire \murax.system_uartCtrl.streamFifo_3._zz_1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:593"
  wire width 4 \murax.system_uartCtrl.streamFifo_3._zz_10
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:594"
  wire width 4 \murax.system_uartCtrl.streamFifo_3._zz_11
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:614"
  wire \murax.system_uartCtrl.streamFifo_3._zz_2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:615"
  wire \murax.system_uartCtrl.streamFifo_3._zz_3
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:587"
  wire width 8 \murax.system_uartCtrl.streamFifo_3._zz_4
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:588"
  wire \murax.system_uartCtrl.streamFifo_3._zz_5
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:589"
  wire \murax.system_uartCtrl.streamFifo_3._zz_6
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:590"
  wire \murax.system_uartCtrl.streamFifo_3._zz_7
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:591"
  wire width 4 \murax.system_uartCtrl.streamFifo_3._zz_8
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:592"
  wire \murax.system_uartCtrl.streamFifo_3._zz_9
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:612"
  wire \murax.system_uartCtrl.streamFifo_3.empty
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:613"
  wire \murax.system_uartCtrl.streamFifo_3.full
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:584"
  wire width 5 \murax.system_uartCtrl.streamFifo_3.io_availability
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:582"
  wire \murax.system_uartCtrl.streamFifo_3.io_flush
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:585"
  wire \murax.system_uartCtrl.streamFifo_3.io_mainClk
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:583"
  wire width 5 \murax.system_uartCtrl.streamFifo_3.io_occupancy
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:581"
  wire width 8 \murax.system_uartCtrl.streamFifo_3.io_pop_payload
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:580"
  wire \murax.system_uartCtrl.streamFifo_3.io_pop_ready
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:579"
  wire \murax.system_uartCtrl.streamFifo_3.io_pop_valid
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:578"
  wire width 8 \murax.system_uartCtrl.streamFifo_3.io_push_payload
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:577"
  wire \murax.system_uartCtrl.streamFifo_3.io_push_ready
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:576"
  wire \murax.system_uartCtrl.streamFifo_3.io_push_valid
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:605"
  wire width 4 \murax.system_uartCtrl.streamFifo_3.popPtr_value
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:604"
  wire width 4 \murax.system_uartCtrl.streamFifo_3.popPtr_valueNext
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:603"
  wire \murax.system_uartCtrl.streamFifo_3.popPtr_willClear
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:602"
  wire \murax.system_uartCtrl.streamFifo_3.popPtr_willIncrement
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:607"
  wire \murax.system_uartCtrl.streamFifo_3.popPtr_willOverflow
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:606"
  wire \murax.system_uartCtrl.streamFifo_3.popPtr_willOverflowIfInc
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:611"
  wire \murax.system_uartCtrl.streamFifo_3.popping
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:616"
  wire width 4 \murax.system_uartCtrl.streamFifo_3.ptrDif
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:608"
  wire \murax.system_uartCtrl.streamFifo_3.ptrMatch
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:599"
  wire width 4 \murax.system_uartCtrl.streamFifo_3.pushPtr_value
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:598"
  wire width 4 \murax.system_uartCtrl.streamFifo_3.pushPtr_valueNext
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:597"
  wire \murax.system_uartCtrl.streamFifo_3.pushPtr_willClear
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:596"
  wire \murax.system_uartCtrl.streamFifo_3.pushPtr_willIncrement
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:601"
  wire \murax.system_uartCtrl.streamFifo_3.pushPtr_willOverflow
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:600"
  wire \murax.system_uartCtrl.streamFifo_3.pushPtr_willOverflowIfInc
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:610"
  wire \murax.system_uartCtrl.streamFifo_3.pushing
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:586"
  wire \murax.system_uartCtrl.streamFifo_3.resetCtrl_systemReset
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:609"
  wire \murax.system_uartCtrl.streamFifo_3.risingOccupancy
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:528"
  wire \murax.system_uartCtrl.uartCtrl_1._zz_1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:529"
  wire \murax.system_uartCtrl.uartCtrl_1._zz_2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:530"
  wire \murax.system_uartCtrl.uartCtrl_1._zz_3
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:531"
  wire width 8 \murax.system_uartCtrl.uartCtrl_1._zz_4
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:532"
  wire width 20 \murax.system_uartCtrl.uartCtrl_1.clockDivider_counter
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:533"
  wire \murax.system_uartCtrl.uartCtrl_1.clockDivider_tick
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:518"
  wire width 20 \murax.system_uartCtrl.uartCtrl_1.io_config_clockDivider
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:515"
  wire width 3 \murax.system_uartCtrl.uartCtrl_1.io_config_frame_dataLength
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:517"
  wire width 2 \murax.system_uartCtrl.uartCtrl_1.io_config_frame_parity
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:516"
  wire \murax.system_uartCtrl.uartCtrl_1.io_config_frame_stop
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:526"
  wire \murax.system_uartCtrl.uartCtrl_1.io_mainClk
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:523"
  wire width 8 \murax.system_uartCtrl.uartCtrl_1.io_read_payload
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:522"
  wire \murax.system_uartCtrl.uartCtrl_1.io_read_valid
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:525"
  wire \murax.system_uartCtrl.uartCtrl_1.io_uart_rxd
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:524"
  wire \murax.system_uartCtrl.uartCtrl_1.io_uart_txd
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:521"
  wire width 8 \murax.system_uartCtrl.uartCtrl_1.io_write_payload
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:520"
  wire \murax.system_uartCtrl.uartCtrl_1.io_write_ready
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:519"
  wire \murax.system_uartCtrl.uartCtrl_1.io_write_valid
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:527"
  wire \murax.system_uartCtrl.uartCtrl_1.resetCtrl_systemReset
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:300"
  wire \murax.system_uartCtrl.uartCtrl_1.rx._zz_1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:292"
  wire \murax.system_uartCtrl.uartCtrl_1.rx._zz_2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:293"
  wire \murax.system_uartCtrl.uartCtrl_1.rx._zz_3
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:294"
  wire \murax.system_uartCtrl.uartCtrl_1.rx._zz_4
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:295"
  wire \murax.system_uartCtrl.uartCtrl_1.rx._zz_5
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:296"
  wire \murax.system_uartCtrl.uartCtrl_1.rx._zz_6
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:297"
  wire \murax.system_uartCtrl.uartCtrl_1.rx._zz_7
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:298"
  wire width 3 \murax.system_uartCtrl.uartCtrl_1.rx._zz_8
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:308"
  wire width 3 \murax.system_uartCtrl.uartCtrl_1.rx.bitCounter_value
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:306"
  wire width 3 \murax.system_uartCtrl.uartCtrl_1.rx.bitTimer_counter
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:307"
  wire \murax.system_uartCtrl.uartCtrl_1.rx.bitTimer_tick
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:318|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:92"
  wire \murax.system_uartCtrl.uartCtrl_1.rx.bufferCC_3.buffers_0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:318|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:93"
  wire \murax.system_uartCtrl.uartCtrl_1.rx.bufferCC_3.buffers_1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:318|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:88"
  wire \murax.system_uartCtrl.uartCtrl_1.rx.bufferCC_3.io_dataIn
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:318|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:89"
  wire \murax.system_uartCtrl.uartCtrl_1.rx.bufferCC_3.io_dataOut
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:318|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:87"
  wire \murax.system_uartCtrl.uartCtrl_1.rx.bufferCC_3.io_initial
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:318|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:90"
  wire \murax.system_uartCtrl.uartCtrl_1.rx.bufferCC_3.io_mainClk
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:318|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:91"
  wire \murax.system_uartCtrl.uartCtrl_1.rx.bufferCC_3.resetCtrl_systemReset
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:283"
  wire width 3 \murax.system_uartCtrl.uartCtrl_1.rx.io_configFrame_dataLength
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:285"
  wire width 2 \murax.system_uartCtrl.uartCtrl_1.rx.io_configFrame_parity
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:284"
  wire \murax.system_uartCtrl.uartCtrl_1.rx.io_configFrame_stop
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:290"
  wire \murax.system_uartCtrl.uartCtrl_1.rx.io_mainClk
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:288"
  wire width 8 \murax.system_uartCtrl.uartCtrl_1.rx.io_read_payload
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:287"
  wire \murax.system_uartCtrl.uartCtrl_1.rx.io_read_valid
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:289"
  wire \murax.system_uartCtrl.uartCtrl_1.rx.io_rxd
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:286"
  wire \murax.system_uartCtrl.uartCtrl_1.rx.io_samplingTick
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:291"
  wire \murax.system_uartCtrl.uartCtrl_1.rx.resetCtrl_systemReset
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:301"
  wire \murax.system_uartCtrl.uartCtrl_1.rx.sampler_samples_0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:302"
  wire \murax.system_uartCtrl.uartCtrl_1.rx.sampler_samples_1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:303"
  wire \murax.system_uartCtrl.uartCtrl_1.rx.sampler_samples_2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:299"
  wire \murax.system_uartCtrl.uartCtrl_1.rx.sampler_syncroniser
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:305"
  wire \murax.system_uartCtrl.uartCtrl_1.rx.sampler_tick
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:304"
  wire \murax.system_uartCtrl.uartCtrl_1.rx.sampler_value
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:310"
  wire \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_parity
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:311"
  wire width 8 \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_shifter
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:309"
  wire width 3 \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_state
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:312"
  wire \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_validReg
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:148"
  wire \murax.system_uartCtrl.uartCtrl_1.tx._zz_1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:133"
  wire \murax.system_uartCtrl.uartCtrl_1.tx._zz_2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:134"
  wire \murax.system_uartCtrl.uartCtrl_1.tx._zz_3
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:135"
  wire width 3 \murax.system_uartCtrl.uartCtrl_1.tx._zz_4
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:136"
  wire \murax.system_uartCtrl.uartCtrl_1.tx._zz_5
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:137"
  wire width 3 \murax.system_uartCtrl.uartCtrl_1.tx._zz_6
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:141"
  wire width 3 \murax.system_uartCtrl.uartCtrl_1.tx.clockDivider_counter_value
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:140"
  wire width 3 \murax.system_uartCtrl.uartCtrl_1.tx.clockDivider_counter_valueNext
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:139"
  wire \murax.system_uartCtrl.uartCtrl_1.tx.clockDivider_counter_willClear
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:138"
  wire \murax.system_uartCtrl.uartCtrl_1.tx.clockDivider_counter_willIncrement
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:142"
  wire \murax.system_uartCtrl.uartCtrl_1.tx.clockDivider_counter_willOverflowIfInc
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:143"
  wire \murax.system_uartCtrl.uartCtrl_1.tx.clockDivider_tick
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:123"
  wire width 3 \murax.system_uartCtrl.uartCtrl_1.tx.io_configFrame_dataLength
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:125"
  wire width 2 \murax.system_uartCtrl.uartCtrl_1.tx.io_configFrame_parity
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:124"
  wire \murax.system_uartCtrl.uartCtrl_1.tx.io_configFrame_stop
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:131"
  wire \murax.system_uartCtrl.uartCtrl_1.tx.io_mainClk
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:126"
  wire \murax.system_uartCtrl.uartCtrl_1.tx.io_samplingTick
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:130"
  wire \murax.system_uartCtrl.uartCtrl_1.tx.io_txd
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:129"
  wire width 8 \murax.system_uartCtrl.uartCtrl_1.tx.io_write_payload
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:128"
  wire \murax.system_uartCtrl.uartCtrl_1.tx.io_write_ready
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:127"
  wire \murax.system_uartCtrl.uartCtrl_1.tx.io_write_valid
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:132"
  wire \murax.system_uartCtrl.uartCtrl_1.tx.resetCtrl_systemReset
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:146"
  wire \murax.system_uartCtrl.uartCtrl_1.tx.stateMachine_parity
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:145"
  wire width 3 \murax.system_uartCtrl.uartCtrl_1.tx.stateMachine_state
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:147"
  wire \murax.system_uartCtrl.uartCtrl_1.tx.stateMachine_txd
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:144"
  wire width 3 \murax.system_uartCtrl.uartCtrl_1.tx.tickCounter_value
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1465"
  memory width 32 size 32 \murax.system_cpu.RegFilePlugin_regFile
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3255"
  memory width 8 size 1024 \murax.system_ram.ram_symbol0
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3256"
  memory width 8 size 1024 \murax.system_ram.ram_symbol1
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3257"
  memory width 8 size 1024 \murax.system_ram.ram_symbol2
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3258"
  memory width 8 size 1024 \murax.system_ram.ram_symbol3
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:617"
  memory width 8 size 16 \murax.system_uartCtrl.streamFifo_2.ram
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:617"
  memory width 8 size 16 \murax.system_uartCtrl.streamFifo_3.ram
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4491"
  cell $add $techmap\murax.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4491$834
    parameter \A_SIGNED 0
    parameter \A_WIDTH 6
    parameter \B_SIGNED 0
    parameter \B_WIDTH 6
    parameter \Y_WIDTH 6
    connect \A \murax.resetCtrl_systemClkResetCounter
    connect \B 6'000001
    connect \Y $techmap\murax.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4491$834_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4473"
  cell $and $techmap\murax.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4473$815
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 32
    connect \A \murax.system_mainBusDecoder_logic_masterPipelined_cmd_payload_address
    connect \B \murax._zz_109
    connect \Y $techmap\murax.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4473$815_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4485"
  cell $and $techmap\murax.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4485$826
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 32
    connect \A \murax.system_mainBusDecoder_logic_masterPipelined_cmd_payload_address
    connect \B \murax._zz_110
    connect \Y $techmap\murax.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4485$826_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4473"
  cell $eq $techmap\murax.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4473$816
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4473$815_Y
    connect \B 32'10000000000000000000000000000000
    connect \Y $techmap\murax.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4473$816_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4485"
  cell $eq $techmap\murax.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4485$827
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4485$826_Y
    connect \B 32'11110000000000000000000000000000
    connect \Y $techmap\murax.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4485$827_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4475"
  cell $logic_and $techmap\murax.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4475$818
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_mainBusDecoder_logic_masterPipelined_cmd_valid
    connect \B \murax.system_mainBusDecoder_logic_hits_0
    connect \Y $techmap\murax.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4475$818_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4476"
  cell $logic_and $techmap\murax.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4476$819
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_mainBusDecoder_logic_masterPipelined_cmd_valid
    connect \B \murax.system_mainBusDecoder_logic_hits_1
    connect \Y $techmap\murax.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4476$819_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4477"
  cell $logic_and $techmap\murax.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4477$820
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_mainBusDecoder_logic_hits_0
    connect \B \murax._zz_57
    connect \Y $techmap\murax.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4477$820_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4477"
  cell $logic_and $techmap\murax.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4477$821
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_mainBusDecoder_logic_hits_1
    connect \B \murax._zz_60
    connect \Y $techmap\murax.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4477$821_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4478"
  cell $logic_and $techmap\murax.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4478$825
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_mainBusDecoder_logic_rspPending
    connect \B $techmap\murax.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4478$824_Y
    connect \Y $techmap\murax.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4478$825_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4487"
  cell $logic_and $techmap\murax.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4487$831
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_mainBusDecoder_logic_rspPending
    connect \B \murax.system_mainBusDecoder_logic_rspNoHit
    connect \Y $techmap\murax.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4487$831_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4523"
  cell $logic_and $techmap\murax.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4523$839
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_mainBusDecoder_logic_masterPipelined_cmd_valid
    connect \B \murax.system_mainBusDecoder_logic_masterPipelined_cmd_ready
    connect \Y $techmap\murax.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4523$839_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4523"
  cell $logic_and $techmap\murax.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4523$841
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4523$839_Y
    connect \B $techmap\murax.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4523$840_Y
    connect \Y $techmap\murax.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4523$841_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4540"
  cell $logic_and $techmap\murax.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4540$843
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_mainBusDecoder_logic_masterPipelined_cmd_valid
    connect \B \murax.system_mainBusDecoder_logic_masterPipelined_cmd_ready
    connect \Y $techmap\murax.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4540$843_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4553"
  cell $logic_and $techmap\murax.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4553$846
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax._zz_52
    connect \B \murax._zz_33
    connect \Y $techmap\murax.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4553$846_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4196"
  cell $logic_not $techmap\murax.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4196$809
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax._zz_3
    connect \Y $techmap\murax.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4196$809_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4478"
  cell $logic_not $techmap\murax.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4478$824
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_mainBusDecoder_logic_masterPipelined_rsp_valid
    connect \Y $techmap\murax.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4478$824_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4486"
  cell $logic_not $techmap\murax.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4486$829
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4486$828_Y
    connect \Y $techmap\murax.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4486$829_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4515"
  cell $logic_not $techmap\murax.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4515$837
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax._zz_38
    connect \Y $techmap\murax.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4515$837_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4517"
  cell $logic_not $techmap\murax.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4517$838
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax._zz_2
    connect \Y $techmap\murax.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4517$838_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4523"
  cell $logic_not $techmap\murax.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4523$840
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_mainBusDecoder_logic_masterPipelined_cmd_payload_wr
    connect \Y $techmap\murax.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4523$840_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4477"
  cell $logic_or $techmap\murax.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4477$822
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4477$820_Y
    connect \B $techmap\murax.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4477$821_Y
    connect \Y $techmap\murax.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4477$822_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4477"
  cell $logic_or $techmap\murax.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4477$823
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4477$822_Y
    connect \B \murax.system_mainBusDecoder_logic_noHit
    connect \Y $techmap\murax.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4477$823_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4486"
  cell $logic_or $techmap\murax.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4486$828
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_mainBusDecoder_logic_hits_0
    connect \B \murax.system_mainBusDecoder_logic_hits_1
    connect \Y $techmap\murax.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4486$828_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4487"
  cell $logic_or $techmap\murax.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4487$830
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax._zz_58
    connect \B \murax._zz_61
    connect \Y $techmap\murax.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4487$830_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4487"
  cell $logic_or $techmap\murax.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4487$832
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4487$830_Y
    connect \B $techmap\murax.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4487$831_Y
    connect \Y $techmap\murax.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4487$832_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4197"
  cell $ne $techmap\murax.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4197$810
    parameter \A_SIGNED 0
    parameter \A_WIDTH 6
    parameter \B_SIGNED 0
    parameter \B_WIDTH 6
    parameter \Y_WIDTH 1
    connect \A \murax.resetCtrl_systemClkResetCounter
    connect \B \murax._zz_1
    connect \Y $techmap\murax.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4197$810_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4489"
  cell $dff $techmap\murax.$procdff$2444
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 6
    connect \CLK \murax.io_mainClk
    connect \D $techmap\murax.$procmux$1041_Y
    connect \Q \murax.resetCtrl_systemClkResetCounter
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4498"
  cell $dff $techmap\murax.$procdff$2445
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \CLK \murax.io_mainClk
    connect \D $techmap\murax.$procmux$1043_Y
    connect \Q \murax.resetCtrl_systemReset
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4498"
  cell $dff $techmap\murax.$procdff$2446
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \CLK \murax.io_mainClk
    connect \D $techmap\murax.$procmux$1022_Y
    connect \Q \murax.resetCtrl_mainClkReset
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4506"
  cell $adff $techmap\murax.$procdff$2447
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 1'0
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \ARST \murax.resetCtrl_systemReset
    connect \CLK \murax.io_mainClk
    connect \D $techmap\murax.$procmux$1055_Y
    connect \Q \murax._zz_3
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4506"
  cell $adff $techmap\murax.$procdff$2448
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 1'1
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \ARST \murax.resetCtrl_systemReset
    connect \CLK \murax.io_mainClk
    connect \D $techmap\murax.$procmux$1052_Y
    connect \Q \murax._zz_4
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4506"
  cell $adff $techmap\murax.$procdff$2449
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 1'0
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \ARST \murax.resetCtrl_systemReset
    connect \CLK \murax.io_mainClk
    connect \D $techmap\murax.$procmux$1049_Y
    connect \Q \murax.system_mainBusDecoder_logic_rspPending
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4506"
  cell $adff $techmap\murax.$procdff$2450
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 1'0
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \ARST \murax.resetCtrl_systemReset
    connect \CLK \murax.io_mainClk
    connect \D $techmap\murax.$procmux$1045_Y
    connect \Q \murax.system_mainBusDecoder_logic_rspNoHit
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4533"
  cell $dff $techmap\murax.$procdff$2451
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \CLK \murax.io_mainClk
    connect \D $techmap\murax.$procmux$1065_Y
    connect \Q \murax._zz_5
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4533"
  cell $dff $techmap\murax.$procdff$2452
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 32
    connect \CLK \murax.io_mainClk
    connect \D $techmap\murax.$procmux$1063_Y
    connect \Q \murax._zz_6
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4533"
  cell $dff $techmap\murax.$procdff$2453
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 32
    connect \CLK \murax.io_mainClk
    connect \D $techmap\murax.$procmux$1061_Y
    connect \Q \murax._zz_7
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4533"
  cell $dff $techmap\murax.$procdff$2454
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 2
    connect \CLK \murax.io_mainClk
    connect \D $techmap\murax.$procmux$1059_Y
    connect \Q \murax._zz_8
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4533"
  cell $dff $techmap\murax.$procdff$2455
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \CLK \murax.io_mainClk
    connect \D $techmap\murax.$procmux$1057_Y
    connect \Q \murax.system_mainBusDecoder_logic_rspSourceId
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4545"
  cell $dff $techmap\murax.$procdff$2456
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \CLK \murax.io_mainClk
    connect \D \murax._zz_35
    connect \Q \murax._zz_9
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4549"
  cell $adff $techmap\murax.$procdff$2457
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 1'0
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \ARST \murax.resetCtrl_mainClkReset
    connect \CLK \murax.io_mainClk
    connect \D $techmap\murax.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4553$846_Y
    connect \Q \murax._zz_10
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4426"
  cell $mux $techmap\murax.$procmux$1019
    parameter \WIDTH 32
    connect \A \murax._zz_62
    connect \B \murax._zz_59
    connect \S $techmap\murax.$procmux$1020_CMP
    connect \Y $techmap\murax.$procmux$1019_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4426"
  cell $eq $techmap\murax.$procmux$1020_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_mainBusDecoder_logic_rspSourceId
    connect \B 1'0
    connect \Y $techmap\murax.$procmux$1020_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4438"
  cell $mux $techmap\murax.$procmux$1022
    parameter \WIDTH 1
    connect \A 1'0
    connect \B 1'1
    connect \S $techmap\murax.$procmux$1023_CMP
    connect \Y $techmap\murax.$procmux$1022_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4446"
  cell $mux $techmap\murax.$procmux$1025
    parameter \WIDTH 1
    connect \A 1'0
    connect \B 1'1
    connect \S $techmap\murax.$procmux$1026_CMP
    connect \Y $techmap\murax.$procmux$1025_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4453"
  cell $mux $techmap\murax.$procmux$1028
    parameter \WIDTH 1
    connect \A 1'0
    connect \B 1'1
    connect \S $techmap\murax.$procmux$1029_CMP
    connect \Y $techmap\murax.$procmux$1028_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4478"
  cell $mux $techmap\murax.$procmux$1031
    parameter \WIDTH 1
    connect \A $techmap\murax.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4476$819_Y
    connect \B 1'0
    connect \S $techmap\murax.$procmux$1032_CMP
    connect \Y $techmap\murax.$procmux$1031_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4478"
  cell $mux $techmap\murax.$procmux$1034
    parameter \WIDTH 1
    connect \A $techmap\murax.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4475$818_Y
    connect \B 1'0
    connect \S $techmap\murax.$procmux$1035_CMP
    connect \Y $techmap\murax.$procmux$1034_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4478"
  cell $mux $techmap\murax.$procmux$1037
    parameter \WIDTH 1
    connect \A $techmap\murax.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4477$823_Y
    connect \B 1'0
    connect \S $techmap\murax.$procmux$1038_CMP
    connect \Y $techmap\murax.$procmux$1037_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4490"
  cell $mux $techmap\murax.$procmux$1039
    parameter \WIDTH 6
    connect \A \murax.resetCtrl_systemClkResetCounter
    connect \B $techmap\murax.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4491$834_Y
    connect \S $techmap\murax.$procmux$1040_CMP
    connect \Y $techmap\murax.$procmux$1039_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4493"
  cell $mux $techmap\murax.$procmux$1041
    parameter \WIDTH 6
    connect \A $techmap\murax.$procmux$1039_Y
    connect \B 6'000000
    connect \S $techmap\murax.$procmux$1042_CMP
    connect \Y $techmap\murax.$procmux$1041_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4501"
  cell $mux $techmap\murax.$procmux$1043
    parameter \WIDTH 1
    connect \A \murax.resetCtrl_mainClkResetUnbuffered
    connect \B 1'1
    connect \S $techmap\murax.$procmux$1044_CMP
    connect \Y $techmap\murax.$procmux$1043_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4527"
  cell $mux $techmap\murax.$procmux$1045
    parameter \WIDTH 1
    connect \A 1'0
    connect \B 1'1
    connect \S $techmap\murax.$procmux$1046_CMP
    connect \Y $techmap\murax.$procmux$1045_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4520"
  cell $mux $techmap\murax.$procmux$1047
    parameter \WIDTH 1
    connect \A \murax.system_mainBusDecoder_logic_rspPending
    connect \B 1'0
    connect \S $techmap\murax.$procmux$1048_CMP
    connect \Y $techmap\murax.$procmux$1047_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4523"
  cell $mux $techmap\murax.$procmux$1049
    parameter \WIDTH 1
    connect \A $techmap\murax.$procmux$1047_Y
    connect \B 1'1
    connect \S $techmap\murax.$procmux$1050_CMP
    connect \Y $techmap\murax.$procmux$1049_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4513"
  cell $mux $techmap\murax.$procmux$1052
    parameter \WIDTH 1
    connect \A \murax._zz_2
    connect \B $techmap\murax.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4515$837_Y
    connect \S $techmap\murax.$procmux$1053_CMP
    connect \Y $techmap\murax.$procmux$1052_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4513"
  cell $mux $techmap\murax.$procmux$1055
    parameter \WIDTH 1
    connect \A $techmap\murax.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4517$838_Y
    connect \B \murax._zz_38
    connect \S $techmap\murax.$procmux$1056_CMP
    connect \Y $techmap\murax.$procmux$1055_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4540"
  cell $mux $techmap\murax.$procmux$1057
    parameter \WIDTH 1
    connect \A \murax.system_mainBusDecoder_logic_rspSourceId
    connect \B \murax.system_mainBusDecoder_logic_hits_1
    connect \S $techmap\murax.$procmux$1058_CMP
    connect \Y $techmap\murax.$procmux$1057_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4534"
  cell $mux $techmap\murax.$procmux$1059
    parameter \WIDTH 2
    connect \A \murax._zz_8
    connect \B \murax._zz_42
    connect \S $techmap\murax.$procmux$1060_CMP
    connect \Y $techmap\murax.$procmux$1059_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4534"
  cell $mux $techmap\murax.$procmux$1061
    parameter \WIDTH 32
    connect \A \murax._zz_7
    connect \B \murax._zz_41
    connect \S $techmap\murax.$procmux$1062_CMP
    connect \Y $techmap\murax.$procmux$1061_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4534"
  cell $mux $techmap\murax.$procmux$1063
    parameter \WIDTH 32
    connect \A \murax._zz_6
    connect \B \murax._zz_40
    connect \S $techmap\murax.$procmux$1064_CMP
    connect \Y $techmap\murax.$procmux$1063_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4534"
  cell $mux $techmap\murax.$procmux$1065
    parameter \WIDTH 1
    connect \A \murax._zz_5
    connect \B \murax._zz_39
    connect \S $techmap\murax.$procmux$1066_CMP
    connect \Y $techmap\murax.$procmux$1065_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4389|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4048"
  cell $dff $techmap\murax.apb3Router_1.$procdff$2406
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 2
    connect \CLK \murax.apb3Router_1.io_mainClk
    connect \D \murax.apb3Router_1.io_input_PSEL [2:1]
    connect \Q \murax.apb3Router_1.selIndex
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4389|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4009"
  cell $pmux $techmap\murax.apb3Router_1.$procmux$862
    parameter \S_WIDTH 2
    parameter \WIDTH 1
    connect \A \murax.apb3Router_1.io_outputs_2_PSLVERROR
    connect \B { \murax.apb3Router_1.io_outputs_0_PSLVERROR \murax.apb3Router_1.io_outputs_1_PSLVERROR }
    connect \S { $techmap\murax.apb3Router_1.$procmux$864_CMP $techmap\murax.apb3Router_1.$procmux$863_CMP }
    connect \Y $techmap\murax.apb3Router_1.$procmux$862_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4389|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4009"
  cell $eq $techmap\murax.apb3Router_1.$procmux$863_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 1
    connect \A \murax.apb3Router_1.selIndex
    connect \B 2'01
    connect \Y $techmap\murax.apb3Router_1.$procmux$863_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4389|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4009"
  cell $eq $techmap\murax.apb3Router_1.$procmux$864_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 1
    connect \A \murax.apb3Router_1.selIndex
    connect \B 2'00
    connect \Y $techmap\murax.apb3Router_1.$procmux$864_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4389|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4009"
  cell $pmux $techmap\murax.apb3Router_1.$procmux$866
    parameter \S_WIDTH 2
    parameter \WIDTH 32
    connect \A \murax.apb3Router_1.io_outputs_2_PRDATA
    connect \B { \murax.apb3Router_1.io_outputs_0_PRDATA \murax.apb3Router_1.io_outputs_1_PRDATA }
    connect \S { $techmap\murax.apb3Router_1.$procmux$868_CMP $techmap\murax.apb3Router_1.$procmux$867_CMP }
    connect \Y $techmap\murax.apb3Router_1.$procmux$866_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4389|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4009"
  cell $eq $techmap\murax.apb3Router_1.$procmux$867_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 1
    connect \A \murax.apb3Router_1.selIndex
    connect \B 2'01
    connect \Y $techmap\murax.apb3Router_1.$procmux$867_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4389|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4009"
  cell $eq $techmap\murax.apb3Router_1.$procmux$868_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 1
    connect \A \murax.apb3Router_1.selIndex
    connect \B 2'00
    connect \Y $techmap\murax.apb3Router_1.$procmux$868_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4389|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4009"
  cell $pmux $techmap\murax.apb3Router_1.$procmux$870
    parameter \S_WIDTH 2
    parameter \WIDTH 1
    connect \A \murax.apb3Router_1.io_outputs_2_PREADY
    connect \B { \murax.apb3Router_1.io_outputs_0_PREADY \murax.apb3Router_1.io_outputs_1_PREADY }
    connect \S { $techmap\murax.apb3Router_1.$procmux$872_CMP $techmap\murax.apb3Router_1.$procmux$871_CMP }
    connect \Y $techmap\murax.apb3Router_1.$procmux$870_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4389|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4009"
  cell $eq $techmap\murax.apb3Router_1.$procmux$871_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 1
    connect \A \murax.apb3Router_1.selIndex
    connect \B 2'01
    connect \Y $techmap\murax.apb3Router_1.$procmux$871_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4389|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4009"
  cell $eq $techmap\murax.apb3Router_1.$procmux$872_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 1
    connect \A \murax.apb3Router_1.selIndex
    connect \B 2'00
    connect \Y $techmap\murax.apb3Router_1.$procmux$872_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4200|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:806"
  cell $dff $techmap\murax.bufferCC_3.$procdff$2425
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \CLK \murax.bufferCC_3.io_mainClk
    connect \D \murax.bufferCC_3.io_dataIn
    connect \Q \murax.bufferCC_3.buffers_0
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4200|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:806"
  cell $dff $techmap\murax.bufferCC_3.$procdff$2426
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \CLK \murax.bufferCC_3.io_mainClk
    connect \D \murax.bufferCC_3.buffers_0
    connect \Q \murax.bufferCC_3.buffers_1
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4371|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3957"
  cell $and $techmap\murax.io_apb_decoder.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3957$798
    parameter \A_SIGNED 0
    parameter \A_WIDTH 20
    parameter \B_SIGNED 0
    parameter \B_WIDTH 20
    parameter \Y_WIDTH 20
    connect \A \murax.io_apb_decoder.io_input_PADDR
    connect \B \murax.io_apb_decoder._zz_1
    connect \Y $techmap\murax.io_apb_decoder.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3957$798_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4371|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3958"
  cell $and $techmap\murax.io_apb_decoder.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3958$801
    parameter \A_SIGNED 0
    parameter \A_WIDTH 20
    parameter \B_SIGNED 0
    parameter \B_WIDTH 20
    parameter \Y_WIDTH 20
    connect \A \murax.io_apb_decoder.io_input_PADDR
    connect \B \murax.io_apb_decoder._zz_2
    connect \Y $techmap\murax.io_apb_decoder.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3958$801_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4371|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3959"
  cell $and $techmap\murax.io_apb_decoder.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3959$804
    parameter \A_SIGNED 0
    parameter \A_WIDTH 20
    parameter \B_SIGNED 0
    parameter \B_WIDTH 20
    parameter \Y_WIDTH 20
    connect \A \murax.io_apb_decoder.io_input_PADDR
    connect \B \murax.io_apb_decoder._zz_3
    connect \Y $techmap\murax.io_apb_decoder.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3959$804_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4371|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3957"
  cell $eq $techmap\murax.io_apb_decoder.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3957$799
    parameter \A_SIGNED 0
    parameter \A_WIDTH 20
    parameter \B_SIGNED 0
    parameter \B_WIDTH 20
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.io_apb_decoder.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3957$798_Y
    connect \B 20'00000000000000000000
    connect \Y $techmap\murax.io_apb_decoder.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3957$799_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4371|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3958"
  cell $eq $techmap\murax.io_apb_decoder.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3958$802
    parameter \A_SIGNED 0
    parameter \A_WIDTH 20
    parameter \B_SIGNED 0
    parameter \B_WIDTH 20
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.io_apb_decoder.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3958$801_Y
    connect \B 20'00010000000000000000
    connect \Y $techmap\murax.io_apb_decoder.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3958$802_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4371|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3959"
  cell $eq $techmap\murax.io_apb_decoder.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3959$805
    parameter \A_SIGNED 0
    parameter \A_WIDTH 20
    parameter \B_SIGNED 0
    parameter \B_WIDTH 20
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.io_apb_decoder.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3959$804_Y
    connect \B 20'00100000000000000000
    connect \Y $techmap\murax.io_apb_decoder.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3959$805_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4371|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3957"
  cell $logic_and $techmap\murax.io_apb_decoder.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3957$800
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.io_apb_decoder.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3957$799_Y
    connect \B \murax.io_apb_decoder.io_input_PSEL
    connect \Y $techmap\murax.io_apb_decoder.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3957$800_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4371|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3958"
  cell $logic_and $techmap\murax.io_apb_decoder.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3958$803
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.io_apb_decoder.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3958$802_Y
    connect \B \murax.io_apb_decoder.io_input_PSEL
    connect \Y $techmap\murax.io_apb_decoder.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3958$803_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4371|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3959"
  cell $logic_and $techmap\murax.io_apb_decoder.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3959$806
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.io_apb_decoder.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3959$805_Y
    connect \B \murax.io_apb_decoder.io_input_PSEL
    connect \Y $techmap\murax.io_apb_decoder.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3959$806_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2986"
  cell $eq $techmap\murax.jtagBridge_1.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2986$629
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 1
    connect \A \murax.jtagBridge_1.jtag_tap_fsm_state
    connect \B 4'1011
    connect \Y $techmap\murax.jtagBridge_1.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2986$629_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2987"
  cell $eq $techmap\murax.jtagBridge_1.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2987$630
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 1
    connect \A \murax.jtagBridge_1.jtag_tap_fsm_state
    connect \B 4'1011
    connect \Y $techmap\murax.jtagBridge_1.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2987$630_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3088"
  cell $eq $techmap\murax.jtagBridge_1.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3088$649
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 1
    connect \A \murax.jtagBridge_1.jtag_tap_instruction
    connect \B \murax.jtagBridge_1._zz_9
    connect \Y $techmap\murax.jtagBridge_1.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3088$649_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3090"
  cell $eq $techmap\murax.jtagBridge_1.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3090$650
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 1
    connect \A \murax.jtagBridge_1.jtag_tap_instruction
    connect \B \murax.jtagBridge_1._zz_10
    connect \Y $techmap\murax.jtagBridge_1.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3090$650_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3094"
  cell $eq $techmap\murax.jtagBridge_1.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3094$652
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 1
    connect \A \murax.jtagBridge_1.jtag_tap_fsm_state
    connect \B 4'1011
    connect \Y $techmap\murax.jtagBridge_1.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3094$652_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3106"
  cell $eq $techmap\murax.jtagBridge_1.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3106$653
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 1
    connect \A \murax.jtagBridge_1.jtag_tap_instruction
    connect \B \murax.jtagBridge_1._zz_11
    connect \Y $techmap\murax.jtagBridge_1.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3106$653_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3141"
  cell $eq $techmap\murax.jtagBridge_1.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3141$659
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 1
    connect \A \murax.jtagBridge_1.jtag_tap_fsm_state
    connect \B 4'0000
    connect \Y $techmap\murax.jtagBridge_1.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3141$659_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3146"
  cell $eq $techmap\murax.jtagBridge_1.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3146$660
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 1
    connect \A \murax.jtagBridge_1.jtag_tap_fsm_state
    connect \B 4'1010
    connect \Y $techmap\murax.jtagBridge_1.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3146$660_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3111"
  cell $logic_and $techmap\murax.jtagBridge_1.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3111$655
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.jtagBridge_1.io_remote_rsp_valid
    connect \B \murax.jtagBridge_1._zz_3
    connect \Y $techmap\murax.jtagBridge_1.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3111$655_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3107"
  cell $dff $techmap\murax.jtagBridge_1.$procdff$2435
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \CLK \murax.jtagBridge_1.io_mainClk
    connect \D $techmap\murax.jtagBridge_1.$procmux$992_Y
    connect \Q \murax.jtagBridge_1.system_rsp_valid
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3107"
  cell $dff $techmap\murax.jtagBridge_1.$procdff$2436
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \CLK \murax.jtagBridge_1.io_mainClk
    connect \D $techmap\murax.jtagBridge_1.$procmux$988_Y
    connect \Q \murax.jtagBridge_1.system_rsp_payload_error
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3107"
  cell $dff $techmap\murax.jtagBridge_1.$procdff$2437
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 32
    connect \CLK \murax.jtagBridge_1.io_mainClk
    connect \D $techmap\murax.jtagBridge_1.$procmux$986_Y
    connect \Q \murax.jtagBridge_1.system_rsp_payload_data
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3118"
  cell $dff $techmap\murax.jtagBridge_1.$procdff$2438
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 4
    connect \CLK \murax.jtagBridge_1.io_jtag_tck
    connect \D $techmap\murax.jtagBridge_1.$procmux$938_Y
    connect \Q \murax.jtagBridge_1.jtag_tap_fsm_state
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3118"
  cell $dff $techmap\murax.jtagBridge_1.$procdff$2439
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 4
    connect \CLK \murax.jtagBridge_1.io_jtag_tck
    connect \D $techmap\murax.jtagBridge_1.$procmux$1016_Y
    connect \Q \murax.jtagBridge_1.jtag_tap_instruction
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3118"
  cell $dff $techmap\murax.jtagBridge_1.$procdff$2440
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 4
    connect \CLK \murax.jtagBridge_1.io_jtag_tck
    connect \D $techmap\murax.jtagBridge_1.$procmux$1010_Y
    connect \Q \murax.jtagBridge_1.jtag_tap_instructionShift
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3118"
  cell $dff $techmap\murax.jtagBridge_1.$procdff$2441
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \CLK \murax.jtagBridge_1.io_jtag_tck
    connect \D $techmap\murax.jtagBridge_1.$procmux$1006_Y
    connect \Q \murax.jtagBridge_1.jtag_tap_bypass
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3118"
  cell $dff $techmap\murax.jtagBridge_1.$procdff$2442
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 32
    connect \CLK \murax.jtagBridge_1.io_jtag_tck
    connect \D $techmap\murax.jtagBridge_1.$procmux$1004_Y
    connect \Q \murax.jtagBridge_1.jtag_idcodeArea_shifter
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3118"
  cell $dff $techmap\murax.jtagBridge_1.$procdff$2443
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 34
    connect \CLK \murax.jtagBridge_1.io_jtag_tck
    connect \D $techmap\murax.jtagBridge_1.$procmux$998_Y
    connect \Q \murax.jtagBridge_1.jtag_readArea_shifter
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3137"
  cell $mux $techmap\murax.jtagBridge_1.$procmux$1000
    parameter \WIDTH 32
    connect \A \murax.jtagBridge_1.jtag_idcodeArea_shifter
    connect \B $techmap\murax.jtagBridge_1.$sshr$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3138$658_Y [31:0]
    connect \S $techmap\murax.jtagBridge_1.$procmux$1001_CMP
    connect \Y $techmap\murax.jtagBridge_1.$procmux$1000_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3136"
  cell $mux $techmap\murax.jtagBridge_1.$procmux$1002
    parameter \WIDTH 32
    connect \A \murax.jtagBridge_1.jtag_idcodeArea_shifter
    connect \B $techmap\murax.jtagBridge_1.$procmux$1000_Y
    connect \S $techmap\murax.jtagBridge_1.$procmux$1003_CMP
    connect \Y $techmap\murax.jtagBridge_1.$procmux$1002_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3141"
  cell $mux $techmap\murax.jtagBridge_1.$procmux$1004
    parameter \WIDTH 32
    connect \A $techmap\murax.jtagBridge_1.$procmux$1002_Y
    connect \B 268443647
    connect \S $techmap\murax.jtagBridge_1.$procmux$1005_CMP
    connect \Y $techmap\murax.jtagBridge_1.$procmux$1004_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3120"
  cell $mux $techmap\murax.jtagBridge_1.$procmux$1006
    parameter \WIDTH 1
    connect \A \murax.jtagBridge_1.jtag_tap_bypass
    connect \B \murax.jtagBridge_1.io_jtag_tdi
    connect \S $techmap\murax.jtagBridge_1.$procmux$1007_CMP
    connect \Y $techmap\murax.jtagBridge_1.$procmux$1006_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3120"
  cell $eq $techmap\murax.jtagBridge_1.$procmux$1007_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 1
    connect \A \murax.jtagBridge_1.jtag_tap_fsm_state
    connect \B 4'1011
    connect \Y $techmap\murax.jtagBridge_1.$procmux$1007_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3120"
  cell $pmux $techmap\murax.jtagBridge_1.$procmux$1010
    parameter \S_WIDTH 2
    parameter \WIDTH 4
    connect \A \murax.jtagBridge_1.jtag_tap_instructionShift
    connect \B { \murax.jtagBridge_1.jtag_tap_instruction $techmap\murax.jtagBridge_1.$sshr$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3125$657_Y [3:0] }
    connect \S { $techmap\murax.jtagBridge_1.$procmux$1012_CMP $techmap\murax.jtagBridge_1.$procmux$1011_CMP }
    connect \Y $techmap\murax.jtagBridge_1.$procmux$1010_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3120"
  cell $eq $techmap\murax.jtagBridge_1.$procmux$1011_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 1
    connect \A \murax.jtagBridge_1.jtag_tap_fsm_state
    connect \B 4'0100
    connect \Y $techmap\murax.jtagBridge_1.$procmux$1011_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3120"
  cell $eq $techmap\murax.jtagBridge_1.$procmux$1012_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 1
    connect \A \murax.jtagBridge_1.jtag_tap_fsm_state
    connect \B 4'0011
    connect \Y $techmap\murax.jtagBridge_1.$procmux$1012_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3120"
  cell $mux $techmap\murax.jtagBridge_1.$procmux$1014
    parameter \WIDTH 4
    connect \A \murax.jtagBridge_1.jtag_tap_instruction
    connect \B \murax.jtagBridge_1.jtag_tap_instructionShift
    connect \S $techmap\murax.jtagBridge_1.$procmux$1015_CMP
    connect \Y $techmap\murax.jtagBridge_1.$procmux$1014_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3120"
  cell $eq $techmap\murax.jtagBridge_1.$procmux$1015_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 1
    connect \A \murax.jtagBridge_1.jtag_tap_fsm_state
    connect \B 4'1000
    connect \Y $techmap\murax.jtagBridge_1.$procmux$1015_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3141"
  cell $mux $techmap\murax.jtagBridge_1.$procmux$1016
    parameter \WIDTH 4
    connect \A $techmap\murax.jtagBridge_1.$procmux$1014_Y
    connect \B { 3'000 \murax.jtagBridge_1.jtag_idcodeArea_instructionId }
    connect \S $techmap\murax.jtagBridge_1.$procmux$1017_CMP
    connect \Y $techmap\murax.jtagBridge_1.$procmux$1016_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3007"
  cell $pmux $techmap\murax.jtagBridge_1.$procmux$938
    parameter \S_WIDTH 15
    parameter \WIDTH 4
    connect \A $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3054$647_Y
    connect \B { $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3009$632_Y $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3012$633_Y $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3015$634_Y $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3018$635_Y $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3021$636_Y $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3024$637_Y $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3027$638_Y $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3030$639_Y $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3033$640_Y $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3036$641_Y $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3039$642_Y $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3042$643_Y $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3045$644_Y $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3048$645_Y $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3051$646_Y }
    connect \S { $techmap\murax.jtagBridge_1.$procmux$953_CMP $techmap\murax.jtagBridge_1.$procmux$952_CMP $techmap\murax.jtagBridge_1.$procmux$951_CMP $techmap\murax.jtagBridge_1.$procmux$950_CMP $techmap\murax.jtagBridge_1.$procmux$949_CMP $techmap\murax.jtagBridge_1.$procmux$948_CMP $techmap\murax.jtagBridge_1.$procmux$947_CMP $techmap\murax.jtagBridge_1.$procmux$946_CMP $techmap\murax.jtagBridge_1.$procmux$945_CMP $techmap\murax.jtagBridge_1.$procmux$944_CMP $techmap\murax.jtagBridge_1.$procmux$943_CMP $techmap\murax.jtagBridge_1.$procmux$942_CMP $techmap\murax.jtagBridge_1.$procmux$941_CMP $techmap\murax.jtagBridge_1.$procmux$940_CMP $techmap\murax.jtagBridge_1.$procmux$939_CMP }
    connect \Y $techmap\murax.jtagBridge_1.$procmux$938_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3007"
  cell $eq $techmap\murax.jtagBridge_1.$procmux$939_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 1
    connect \A \murax.jtagBridge_1.jtag_tap_fsm_state
    connect \B 4'1111
    connect \Y $techmap\murax.jtagBridge_1.$procmux$939_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3007"
  cell $eq $techmap\murax.jtagBridge_1.$procmux$940_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 1
    connect \A \murax.jtagBridge_1.jtag_tap_fsm_state
    connect \B 4'1110
    connect \Y $techmap\murax.jtagBridge_1.$procmux$940_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3007"
  cell $eq $techmap\murax.jtagBridge_1.$procmux$941_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 1
    connect \A \murax.jtagBridge_1.jtag_tap_fsm_state
    connect \B 4'1101
    connect \Y $techmap\murax.jtagBridge_1.$procmux$941_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3007"
  cell $eq $techmap\murax.jtagBridge_1.$procmux$942_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 1
    connect \A \murax.jtagBridge_1.jtag_tap_fsm_state
    connect \B 4'1100
    connect \Y $techmap\murax.jtagBridge_1.$procmux$942_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3007"
  cell $eq $techmap\murax.jtagBridge_1.$procmux$943_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 1
    connect \A \murax.jtagBridge_1.jtag_tap_fsm_state
    connect \B 4'1011
    connect \Y $techmap\murax.jtagBridge_1.$procmux$943_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3007"
  cell $eq $techmap\murax.jtagBridge_1.$procmux$944_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 1
    connect \A \murax.jtagBridge_1.jtag_tap_fsm_state
    connect \B 4'1010
    connect \Y $techmap\murax.jtagBridge_1.$procmux$944_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3007"
  cell $eq $techmap\murax.jtagBridge_1.$procmux$945_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 1
    connect \A \murax.jtagBridge_1.jtag_tap_fsm_state
    connect \B 4'1001
    connect \Y $techmap\murax.jtagBridge_1.$procmux$945_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3007"
  cell $eq $techmap\murax.jtagBridge_1.$procmux$946_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 1
    connect \A \murax.jtagBridge_1.jtag_tap_fsm_state
    connect \B 4'1000
    connect \Y $techmap\murax.jtagBridge_1.$procmux$946_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3007"
  cell $eq $techmap\murax.jtagBridge_1.$procmux$947_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 1
    connect \A \murax.jtagBridge_1.jtag_tap_fsm_state
    connect \B 4'0111
    connect \Y $techmap\murax.jtagBridge_1.$procmux$947_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3007"
  cell $eq $techmap\murax.jtagBridge_1.$procmux$948_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 1
    connect \A \murax.jtagBridge_1.jtag_tap_fsm_state
    connect \B 4'0110
    connect \Y $techmap\murax.jtagBridge_1.$procmux$948_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3007"
  cell $eq $techmap\murax.jtagBridge_1.$procmux$949_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 1
    connect \A \murax.jtagBridge_1.jtag_tap_fsm_state
    connect \B 4'0101
    connect \Y $techmap\murax.jtagBridge_1.$procmux$949_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3007"
  cell $eq $techmap\murax.jtagBridge_1.$procmux$950_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 1
    connect \A \murax.jtagBridge_1.jtag_tap_fsm_state
    connect \B 4'0100
    connect \Y $techmap\murax.jtagBridge_1.$procmux$950_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3007"
  cell $eq $techmap\murax.jtagBridge_1.$procmux$951_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 1
    connect \A \murax.jtagBridge_1.jtag_tap_fsm_state
    connect \B 4'0011
    connect \Y $techmap\murax.jtagBridge_1.$procmux$951_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3007"
  cell $eq $techmap\murax.jtagBridge_1.$procmux$952_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 1
    connect \A \murax.jtagBridge_1.jtag_tap_fsm_state
    connect \B 4'0010
    connect \Y $techmap\murax.jtagBridge_1.$procmux$952_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3007"
  cell $eq $techmap\murax.jtagBridge_1.$procmux$953_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 1
    connect \A \murax.jtagBridge_1.jtag_tap_fsm_state
    connect \B 4'0001
    connect \Y $techmap\murax.jtagBridge_1.$procmux$953_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3081"
  cell $mux $techmap\murax.jtagBridge_1.$procmux$956
    parameter \WIDTH 1
    connect \A $techmap\murax.jtagBridge_1.$2\io_jtag_tdo[0:0]
    connect \B \murax.jtagBridge_1.jtag_readArea_shifter [0]
    connect \S $techmap\murax.jtagBridge_1.$procmux$957_CMP
    connect \Y $techmap\murax.jtagBridge_1.$procmux$956_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3080"
  cell $mux $techmap\murax.jtagBridge_1.$procmux$958
    parameter \WIDTH 1
    connect \A 1'x
    connect \B $techmap\murax.jtagBridge_1.$procmux$956_Y
    connect \S $techmap\murax.jtagBridge_1.$procmux$959_CMP
    connect \Y $techmap\murax.jtagBridge_1.$procmux$958_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3080"
  cell $mux $techmap\murax.jtagBridge_1.$procmux$961
    parameter \WIDTH 1
    connect \A $techmap\murax.jtagBridge_1.$2\io_jtag_tdo[0:0]
    connect \B $techmap\murax.jtagBridge_1.$5\io_jtag_tdo[0:0]
    connect \S $techmap\murax.jtagBridge_1.$procmux$962_CMP
    connect \Y $techmap\murax.jtagBridge_1.$procmux$961_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3076"
  cell $mux $techmap\murax.jtagBridge_1.$procmux$965
    parameter \WIDTH 1
    connect \A $techmap\murax.jtagBridge_1.$1\io_jtag_tdo[0:0]
    connect \B \murax.jtagBridge_1.jtag_idcodeArea_shifter [0]
    connect \S $techmap\murax.jtagBridge_1.$procmux$966_CMP
    connect \Y $techmap\murax.jtagBridge_1.$procmux$965_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3075"
  cell $mux $techmap\murax.jtagBridge_1.$procmux$967
    parameter \WIDTH 1
    connect \A 1'x
    connect \B $techmap\murax.jtagBridge_1.$procmux$965_Y
    connect \S $techmap\murax.jtagBridge_1.$procmux$968_CMP
    connect \Y $techmap\murax.jtagBridge_1.$procmux$967_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3075"
  cell $mux $techmap\murax.jtagBridge_1.$procmux$970
    parameter \WIDTH 1
    connect \A $techmap\murax.jtagBridge_1.$1\io_jtag_tdo[0:0]
    connect \B $techmap\murax.jtagBridge_1.$3\io_jtag_tdo[0:0]
    connect \S $techmap\murax.jtagBridge_1.$procmux$971_CMP
    connect \Y $techmap\murax.jtagBridge_1.$procmux$970_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3062"
  cell $mux $techmap\murax.jtagBridge_1.$procmux$975
    parameter \WIDTH 1
    connect \A \murax.jtagBridge_1.jtag_tap_bypass
    connect \B \murax.jtagBridge_1.jtag_tap_instructionShift [0]
    connect \S $techmap\murax.jtagBridge_1.$procmux$976_CMP
    connect \Y $techmap\murax.jtagBridge_1.$procmux$975_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3062"
  cell $eq $techmap\murax.jtagBridge_1.$procmux$976_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 1
    connect \A \murax.jtagBridge_1.jtag_tap_fsm_state
    connect \B 4'0100
    connect \Y $techmap\murax.jtagBridge_1.$procmux$976_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3094"
  cell $mux $techmap\murax.jtagBridge_1.$procmux$979
    parameter \WIDTH 1
    connect \A 1'0
    connect \B 1'1
    connect \S $techmap\murax.jtagBridge_1.$procmux$980_CMP
    connect \Y $techmap\murax.jtagBridge_1.$procmux$979_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3093"
  cell $mux $techmap\murax.jtagBridge_1.$procmux$981
    parameter \WIDTH 1
    connect \A 1'x
    connect \B $techmap\murax.jtagBridge_1.$procmux$979_Y
    connect \S $techmap\murax.jtagBridge_1.$procmux$982_CMP
    connect \Y $techmap\murax.jtagBridge_1.$procmux$981_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3093"
  cell $mux $techmap\murax.jtagBridge_1.$procmux$984
    parameter \WIDTH 1
    connect \A 1'0
    connect \B $techmap\murax.jtagBridge_1.$2\jtag_writeArea_source_valid[0:0]
    connect \S $techmap\murax.jtagBridge_1.$procmux$985_CMP
    connect \Y $techmap\murax.jtagBridge_1.$procmux$984_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3111"
  cell $mux $techmap\murax.jtagBridge_1.$procmux$986
    parameter \WIDTH 32
    connect \A \murax.jtagBridge_1.system_rsp_payload_data
    connect \B \murax.jtagBridge_1.io_remote_rsp_payload_data
    connect \S $techmap\murax.jtagBridge_1.$procmux$987_CMP
    connect \Y $techmap\murax.jtagBridge_1.$procmux$986_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3111"
  cell $mux $techmap\murax.jtagBridge_1.$procmux$988
    parameter \WIDTH 1
    connect \A \murax.jtagBridge_1.system_rsp_payload_error
    connect \B \murax.jtagBridge_1.io_remote_rsp_payload_error
    connect \S $techmap\murax.jtagBridge_1.$procmux$989_CMP
    connect \Y $techmap\murax.jtagBridge_1.$procmux$988_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3108"
  cell $mux $techmap\murax.jtagBridge_1.$procmux$990
    parameter \WIDTH 1
    connect \A \murax.jtagBridge_1.system_rsp_valid
    connect \B 1'0
    connect \S $techmap\murax.jtagBridge_1.$procmux$991_CMP
    connect \Y $techmap\murax.jtagBridge_1.$procmux$990_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3111"
  cell $mux $techmap\murax.jtagBridge_1.$procmux$992
    parameter \WIDTH 1
    connect \A $techmap\murax.jtagBridge_1.$procmux$990_Y
    connect \B 1'1
    connect \S $techmap\murax.jtagBridge_1.$procmux$993_CMP
    connect \Y $techmap\murax.jtagBridge_1.$procmux$992_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3146"
  cell $mux $techmap\murax.jtagBridge_1.$procmux$994
    parameter \WIDTH 34
    connect \A \murax.jtagBridge_1.jtag_readArea_shifter
    connect \B { \murax.jtagBridge_1.system_rsp_payload_data \murax.jtagBridge_1.system_rsp_payload_error \murax.jtagBridge_1.system_rsp_valid }
    connect \S $techmap\murax.jtagBridge_1.$procmux$995_CMP
    connect \Y $techmap\murax.jtagBridge_1.$procmux$994_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3149"
  cell $mux $techmap\murax.jtagBridge_1.$procmux$996
    parameter \WIDTH 34
    connect \A $techmap\murax.jtagBridge_1.$procmux$994_Y
    connect \B $techmap\murax.jtagBridge_1.$sshr$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3150$661_Y [33:0]
    connect \S $techmap\murax.jtagBridge_1.$procmux$997_CMP
    connect \Y $techmap\murax.jtagBridge_1.$procmux$996_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3145"
  cell $mux $techmap\murax.jtagBridge_1.$procmux$998
    parameter \WIDTH 34
    connect \A \murax.jtagBridge_1.jtag_readArea_shifter
    connect \B $techmap\murax.jtagBridge_1.$procmux$996_Y
    connect \S $techmap\murax.jtagBridge_1.$procmux$999_CMP
    connect \Y $techmap\murax.jtagBridge_1.$procmux$998_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3125"
  cell $sshr $techmap\murax.jtagBridge_1.$sshr$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3125$657
    parameter \A_SIGNED 0
    parameter \A_WIDTH 5
    parameter \B_SIGNED 1
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 5
    connect \A { \murax.jtagBridge_1.io_jtag_tdi \murax.jtagBridge_1.jtag_tap_instructionShift }
    connect \B 1
    connect \Y $techmap\murax.jtagBridge_1.$sshr$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3125$657_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3138"
  cell $sshr $techmap\murax.jtagBridge_1.$sshr$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3138$658
    parameter \A_SIGNED 0
    parameter \A_WIDTH 33
    parameter \B_SIGNED 1
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 33
    connect \A { \murax.jtagBridge_1.io_jtag_tdi \murax.jtagBridge_1.jtag_idcodeArea_shifter }
    connect \B 1
    connect \Y $techmap\murax.jtagBridge_1.$sshr$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3138$658_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3150"
  cell $sshr $techmap\murax.jtagBridge_1.$sshr$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3150$661
    parameter \A_SIGNED 0
    parameter \A_WIDTH 35
    parameter \B_SIGNED 1
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 35
    connect \A { \murax.jtagBridge_1.io_jtag_tdi \murax.jtagBridge_1.jtag_readArea_shifter }
    connect \B 1
    connect \Y $techmap\murax.jtagBridge_1.$sshr$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3150$661_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3009"
  cell $mux $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3009$632
    parameter \WIDTH 4
    connect \A 4'0001
    connect \B 4'1001
    connect \S \murax.jtagBridge_1.io_jtag_tms
    connect \Y $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3009$632_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3012"
  cell $mux $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3012$633
    parameter \WIDTH 4
    connect \A 4'0011
    connect \B 4'0000
    connect \S \murax.jtagBridge_1.io_jtag_tms
    connect \Y $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3012$633_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3015"
  cell $mux $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3015$634
    parameter \WIDTH 4
    connect \A 4'0100
    connect \B 4'0101
    connect \S \murax.jtagBridge_1.io_jtag_tms
    connect \Y $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3015$634_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3018"
  cell $mux $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3018$635
    parameter \WIDTH 4
    connect \A 4'0100
    connect \B 4'0101
    connect \S \murax.jtagBridge_1.io_jtag_tms
    connect \Y $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3018$635_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3021"
  cell $mux $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3021$636
    parameter \WIDTH 4
    connect \A 4'0110
    connect \B 4'1000
    connect \S \murax.jtagBridge_1.io_jtag_tms
    connect \Y $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3021$636_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3024"
  cell $mux $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3024$637
    parameter \WIDTH 4
    connect \A 4'0110
    connect \B 4'0111
    connect \S \murax.jtagBridge_1.io_jtag_tms
    connect \Y $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3024$637_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3027"
  cell $mux $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3027$638
    parameter \WIDTH 4
    connect \A 4'0100
    connect \B 4'1000
    connect \S \murax.jtagBridge_1.io_jtag_tms
    connect \Y $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3027$638_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3030"
  cell $mux $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3030$639
    parameter \WIDTH 4
    connect \A 4'0001
    connect \B 4'1001
    connect \S \murax.jtagBridge_1.io_jtag_tms
    connect \Y $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3030$639_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3033"
  cell $mux $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3033$640
    parameter \WIDTH 4
    connect \A 4'1010
    connect \B 4'0010
    connect \S \murax.jtagBridge_1.io_jtag_tms
    connect \Y $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3033$640_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3036"
  cell $mux $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3036$641
    parameter \WIDTH 4
    connect \A 4'1011
    connect \B 4'1100
    connect \S \murax.jtagBridge_1.io_jtag_tms
    connect \Y $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3036$641_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3039"
  cell $mux $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3039$642
    parameter \WIDTH 4
    connect \A 4'1011
    connect \B 4'1100
    connect \S \murax.jtagBridge_1.io_jtag_tms
    connect \Y $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3039$642_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3042"
  cell $mux $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3042$643
    parameter \WIDTH 4
    connect \A 4'1101
    connect \B 4'1111
    connect \S \murax.jtagBridge_1.io_jtag_tms
    connect \Y $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3042$643_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3045"
  cell $mux $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3045$644
    parameter \WIDTH 4
    connect \A 4'1101
    connect \B 4'1110
    connect \S \murax.jtagBridge_1.io_jtag_tms
    connect \Y $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3045$644_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3048"
  cell $mux $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3048$645
    parameter \WIDTH 4
    connect \A 4'1011
    connect \B 4'1111
    connect \S \murax.jtagBridge_1.io_jtag_tms
    connect \Y $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3048$645_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3051"
  cell $mux $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3051$646
    parameter \WIDTH 4
    connect \A 4'0001
    connect \B 4'1001
    connect \S \murax.jtagBridge_1.io_jtag_tms
    connect \Y $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3051$646_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3054"
  cell $mux $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3054$647
    parameter \WIDTH 4
    connect \A 4'0001
    connect \B 4'0000
    connect \S \murax.jtagBridge_1.io_jtag_tms
    connect \Y $techmap\murax.jtagBridge_1.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3054$647_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2991|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:492"
  cell $logic_not $techmap\murax.jtagBridge_1.flowCCByToggle_1.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:492$51
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.jtagBridge_1.flowCCByToggle_1.inputArea_target
    connect \Y $techmap\murax.jtagBridge_1.flowCCByToggle_1.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:492$51_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2991|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:484"
  cell $ne $techmap\murax.jtagBridge_1.flowCCByToggle_1.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:484$49
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.jtagBridge_1.flowCCByToggle_1.outputArea_target
    connect \B \murax.jtagBridge_1.flowCCByToggle_1.outputArea_hit
    connect \Y $techmap\murax.jtagBridge_1.flowCCByToggle_1.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:484$49_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2991|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:490"
  cell $dff $techmap\murax.jtagBridge_1.flowCCByToggle_1.$procdff$2427
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \CLK \murax.jtagBridge_1.flowCCByToggle_1.io_jtag_tck
    connect \D $techmap\murax.jtagBridge_1.flowCCByToggle_1.$procmux$935_Y
    connect \Q \murax.jtagBridge_1.flowCCByToggle_1.inputArea_target
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2991|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:490"
  cell $dff $techmap\murax.jtagBridge_1.flowCCByToggle_1.$procdff$2428
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \CLK \murax.jtagBridge_1.flowCCByToggle_1.io_jtag_tck
    connect \D $techmap\murax.jtagBridge_1.flowCCByToggle_1.$procmux$933_Y
    connect \Q \murax.jtagBridge_1.flowCCByToggle_1.inputArea_data_last
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2991|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:490"
  cell $dff $techmap\murax.jtagBridge_1.flowCCByToggle_1.$procdff$2429
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \CLK \murax.jtagBridge_1.flowCCByToggle_1.io_jtag_tck
    connect \D $techmap\murax.jtagBridge_1.flowCCByToggle_1.$procmux$931_Y
    connect \Q \murax.jtagBridge_1.flowCCByToggle_1.inputArea_data_fragment
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2991|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:498"
  cell $dff $techmap\murax.jtagBridge_1.flowCCByToggle_1.$procdff$2430
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \CLK \murax.jtagBridge_1.flowCCByToggle_1.io_mainClk
    connect \D \murax.jtagBridge_1.flowCCByToggle_1.inputArea_data_last
    connect \Q \murax.jtagBridge_1.flowCCByToggle_1._zz_2
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2991|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:498"
  cell $dff $techmap\murax.jtagBridge_1.flowCCByToggle_1.$procdff$2431
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \CLK \murax.jtagBridge_1.flowCCByToggle_1.io_mainClk
    connect \D \murax.jtagBridge_1.flowCCByToggle_1.inputArea_data_fragment
    connect \Q \murax.jtagBridge_1.flowCCByToggle_1._zz_3
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2991|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:498"
  cell $dff $techmap\murax.jtagBridge_1.flowCCByToggle_1.$procdff$2432
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \CLK \murax.jtagBridge_1.flowCCByToggle_1.io_mainClk
    connect \D \murax.jtagBridge_1.flowCCByToggle_1._zz_4
    connect \Q \murax.jtagBridge_1.flowCCByToggle_1.outputArea_hit
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2991|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:504"
  cell $adff $techmap\murax.jtagBridge_1.flowCCByToggle_1.$procdff$2433
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 1'0
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \ARST \murax.jtagBridge_1.flowCCByToggle_1.resetCtrl_mainClkReset
    connect \CLK \murax.jtagBridge_1.flowCCByToggle_1.io_mainClk
    connect \D $techmap\murax.jtagBridge_1.flowCCByToggle_1.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:484$49_Y
    connect \Q \murax.jtagBridge_1.flowCCByToggle_1._zz_1
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2991|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:491"
  cell $mux $techmap\murax.jtagBridge_1.flowCCByToggle_1.$procmux$931
    parameter \WIDTH 1
    connect \A \murax.jtagBridge_1.flowCCByToggle_1.inputArea_data_fragment
    connect \B \murax.jtagBridge_1.flowCCByToggle_1.io_input_payload_fragment
    connect \S $techmap\murax.jtagBridge_1.flowCCByToggle_1.$procmux$932_CMP
    connect \Y $techmap\murax.jtagBridge_1.flowCCByToggle_1.$procmux$931_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2991|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:491"
  cell $mux $techmap\murax.jtagBridge_1.flowCCByToggle_1.$procmux$933
    parameter \WIDTH 1
    connect \A \murax.jtagBridge_1.flowCCByToggle_1.inputArea_data_last
    connect \B \murax.jtagBridge_1.flowCCByToggle_1.io_input_payload_last
    connect \S $techmap\murax.jtagBridge_1.flowCCByToggle_1.$procmux$934_CMP
    connect \Y $techmap\murax.jtagBridge_1.flowCCByToggle_1.$procmux$933_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2991|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:491"
  cell $mux $techmap\murax.jtagBridge_1.flowCCByToggle_1.$procmux$935
    parameter \WIDTH 1
    connect \A \murax.jtagBridge_1.flowCCByToggle_1.inputArea_target
    connect \B $techmap\murax.jtagBridge_1.flowCCByToggle_1.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:492$51_Y
    connect \S $techmap\murax.jtagBridge_1.flowCCByToggle_1.$procmux$936_CMP
    connect \Y $techmap\murax.jtagBridge_1.flowCCByToggle_1.$procmux$935_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2991|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:477|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:115"
  cell $dff $techmap\murax.jtagBridge_1.flowCCByToggle_1.bufferCC_3.$procdff$2423
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \CLK \murax.jtagBridge_1.flowCCByToggle_1.bufferCC_3.io_mainClk
    connect \D \murax.jtagBridge_1.flowCCByToggle_1.bufferCC_3.io_dataIn
    connect \Q \murax.jtagBridge_1.flowCCByToggle_1.bufferCC_3.buffers_0
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4261|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2991|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:477|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:115"
  cell $dff $techmap\murax.jtagBridge_1.flowCCByToggle_1.bufferCC_3.$procdff$2424
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \CLK \murax.jtagBridge_1.flowCCByToggle_1.bufferCC_3.io_mainClk
    connect \D \murax.jtagBridge_1.flowCCByToggle_1.bufferCC_3.buffers_0
    connect \Q \murax.jtagBridge_1.flowCCByToggle_1.bufferCC_3.buffers_1
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3208"
  cell $add $techmap\murax.systemDebugger_1.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3208$668
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 3
    connect \A \murax.systemDebugger_1.dispatcher_counter
    connect \B 3'001
    connect \Y $techmap\murax.systemDebugger_1.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3208$668_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3187"
  cell $eq $techmap\murax.systemDebugger_1.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3187$663
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.systemDebugger_1.dispatcher_headerLoaded
    connect \B 1'0
    connect \Y $techmap\murax.systemDebugger_1.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3187$663_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3196"
  cell $eq $techmap\murax.systemDebugger_1.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3196$665
    parameter \A_SIGNED 0
    parameter \A_WIDTH 8
    parameter \B_SIGNED 0
    parameter \B_WIDTH 8
    parameter \Y_WIDTH 1
    connect \A \murax.systemDebugger_1.dispatcher_header
    connect \B 8'00000000
    connect \Y $techmap\murax.systemDebugger_1.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3196$665_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3209"
  cell $eq $techmap\murax.systemDebugger_1.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3209$669
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 1
    connect \A \murax.systemDebugger_1.dispatcher_counter
    connect \B 3'111
    connect \Y $techmap\murax.systemDebugger_1.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3209$669_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3196"
  cell $logic_and $techmap\murax.systemDebugger_1.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3196$666
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.systemDebugger_1.dispatcher_dataLoaded
    connect \B $techmap\murax.systemDebugger_1.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3196$665_Y
    connect \Y $techmap\murax.systemDebugger_1.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3196$666_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3219"
  cell $logic_and $techmap\murax.systemDebugger_1.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3219$670
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.systemDebugger_1._zz_2
    connect \B \murax.systemDebugger_1.io_mem_cmd_ready
    connect \Y $techmap\murax.systemDebugger_1.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3219$670_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3190"
  cell $logic_not $techmap\murax.systemDebugger_1.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3190$664
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.systemDebugger_1.dispatcher_dataLoaded
    connect \Y $techmap\murax.systemDebugger_1.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3190$664_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3200"
  cell $adff $techmap\murax.systemDebugger_1.$procdff$2503
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 1'0
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \ARST \murax.systemDebugger_1.resetCtrl_mainClkReset
    connect \CLK \murax.systemDebugger_1.io_mainClk
    connect \D $techmap\murax.systemDebugger_1.$procmux$1395_Y
    connect \Q \murax.systemDebugger_1.dispatcher_dataLoaded
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3200"
  cell $adff $techmap\murax.systemDebugger_1.$procdff$2504
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 1'0
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \ARST \murax.systemDebugger_1.resetCtrl_mainClkReset
    connect \CLK \murax.systemDebugger_1.io_mainClk
    connect \D $techmap\murax.systemDebugger_1.$procmux$1389_Y
    connect \Q \murax.systemDebugger_1.dispatcher_headerLoaded
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3200"
  cell $adff $techmap\murax.systemDebugger_1.$procdff$2505
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 3'000
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 3
    connect \ARST \murax.systemDebugger_1.resetCtrl_mainClkReset
    connect \CLK \murax.systemDebugger_1.io_mainClk
    connect \D $techmap\murax.systemDebugger_1.$procmux$1379_Y
    connect \Q \murax.systemDebugger_1.dispatcher_counter
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3226"
  cell $dff $techmap\murax.systemDebugger_1.$procdff$2506
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 67
    connect \CLK \murax.systemDebugger_1.io_mainClk
    connect \D $techmap\murax.systemDebugger_1.$procmux$1405_Y
    connect \Q \murax.systemDebugger_1.dispatcher_dataShifter
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3226"
  cell $dff $techmap\murax.systemDebugger_1.$procdff$2507
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 8
    connect \CLK \murax.systemDebugger_1.io_mainClk
    connect \D $techmap\murax.systemDebugger_1.$procmux$1400_Y
    connect \Q \murax.systemDebugger_1.dispatcher_headerShifter
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3207"
  cell $mux $techmap\murax.systemDebugger_1.$procmux$1375
    parameter \WIDTH 3
    connect \A \murax.systemDebugger_1.dispatcher_counter
    connect \B $techmap\murax.systemDebugger_1.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3208$668_Y
    connect \S $techmap\murax.systemDebugger_1.$procmux$1376_CMP
    connect \Y $techmap\murax.systemDebugger_1.$procmux$1375_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3213"
  cell $mux $techmap\murax.systemDebugger_1.$procmux$1377
    parameter \WIDTH 3
    connect \A $techmap\murax.systemDebugger_1.$procmux$1375_Y
    connect \B 3'000
    connect \S $techmap\murax.systemDebugger_1.$procmux$1378_CMP
    connect \Y $techmap\murax.systemDebugger_1.$procmux$1377_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3206"
  cell $mux $techmap\murax.systemDebugger_1.$procmux$1379
    parameter \WIDTH 3
    connect \A \murax.systemDebugger_1.dispatcher_counter
    connect \B $techmap\murax.systemDebugger_1.$procmux$1377_Y
    connect \S $techmap\murax.systemDebugger_1.$procmux$1380_CMP
    connect \Y $techmap\murax.systemDebugger_1.$procmux$1379_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3209"
  cell $mux $techmap\murax.systemDebugger_1.$procmux$1381
    parameter \WIDTH 1
    connect \A \murax.systemDebugger_1.dispatcher_headerLoaded
    connect \B 1'1
    connect \S $techmap\murax.systemDebugger_1.$procmux$1382_CMP
    connect \Y $techmap\murax.systemDebugger_1.$procmux$1381_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3207"
  cell $mux $techmap\murax.systemDebugger_1.$procmux$1383
    parameter \WIDTH 1
    connect \A \murax.systemDebugger_1.dispatcher_headerLoaded
    connect \B $techmap\murax.systemDebugger_1.$procmux$1381_Y
    connect \S $techmap\murax.systemDebugger_1.$procmux$1384_CMP
    connect \Y $techmap\murax.systemDebugger_1.$procmux$1383_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3213"
  cell $mux $techmap\murax.systemDebugger_1.$procmux$1385
    parameter \WIDTH 1
    connect \A $techmap\murax.systemDebugger_1.$procmux$1383_Y
    connect \B 1'1
    connect \S $techmap\murax.systemDebugger_1.$procmux$1386_CMP
    connect \Y $techmap\murax.systemDebugger_1.$procmux$1385_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3206"
  cell $mux $techmap\murax.systemDebugger_1.$procmux$1387
    parameter \WIDTH 1
    connect \A \murax.systemDebugger_1.dispatcher_headerLoaded
    connect \B $techmap\murax.systemDebugger_1.$procmux$1385_Y
    connect \S $techmap\murax.systemDebugger_1.$procmux$1388_CMP
    connect \Y $techmap\murax.systemDebugger_1.$procmux$1387_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3219"
  cell $mux $techmap\murax.systemDebugger_1.$procmux$1389
    parameter \WIDTH 1
    connect \A $techmap\murax.systemDebugger_1.$procmux$1387_Y
    connect \B 1'0
    connect \S $techmap\murax.systemDebugger_1.$procmux$1390_CMP
    connect \Y $techmap\murax.systemDebugger_1.$procmux$1389_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3213"
  cell $mux $techmap\murax.systemDebugger_1.$procmux$1391
    parameter \WIDTH 1
    connect \A \murax.systemDebugger_1.dispatcher_dataLoaded
    connect \B 1'1
    connect \S $techmap\murax.systemDebugger_1.$procmux$1392_CMP
    connect \Y $techmap\murax.systemDebugger_1.$procmux$1391_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3206"
  cell $mux $techmap\murax.systemDebugger_1.$procmux$1393
    parameter \WIDTH 1
    connect \A \murax.systemDebugger_1.dispatcher_dataLoaded
    connect \B $techmap\murax.systemDebugger_1.$procmux$1391_Y
    connect \S $techmap\murax.systemDebugger_1.$procmux$1394_CMP
    connect \Y $techmap\murax.systemDebugger_1.$procmux$1393_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3219"
  cell $mux $techmap\murax.systemDebugger_1.$procmux$1395
    parameter \WIDTH 1
    connect \A $techmap\murax.systemDebugger_1.$procmux$1393_Y
    connect \B 1'0
    connect \S $techmap\murax.systemDebugger_1.$procmux$1396_CMP
    connect \Y $techmap\murax.systemDebugger_1.$procmux$1395_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3228"
  cell $mux $techmap\murax.systemDebugger_1.$procmux$1398
    parameter \WIDTH 8
    connect \A \murax.systemDebugger_1.dispatcher_headerShifter
    connect \B $techmap\murax.systemDebugger_1.$sshr$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3229$672_Y [7:0]
    connect \S $techmap\murax.systemDebugger_1.$procmux$1399_CMP
    connect \Y $techmap\murax.systemDebugger_1.$procmux$1398_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3227"
  cell $mux $techmap\murax.systemDebugger_1.$procmux$1400
    parameter \WIDTH 8
    connect \A \murax.systemDebugger_1.dispatcher_headerShifter
    connect \B $techmap\murax.systemDebugger_1.$procmux$1398_Y
    connect \S $techmap\murax.systemDebugger_1.$procmux$1401_CMP
    connect \Y $techmap\murax.systemDebugger_1.$procmux$1400_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3228"
  cell $mux $techmap\murax.systemDebugger_1.$procmux$1403
    parameter \WIDTH 67
    connect \A $techmap\murax.systemDebugger_1.$sshr$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3231$673_Y [66:0]
    connect \B \murax.systemDebugger_1.dispatcher_dataShifter
    connect \S $techmap\murax.systemDebugger_1.$procmux$1404_CMP
    connect \Y $techmap\murax.systemDebugger_1.$procmux$1403_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3227"
  cell $mux $techmap\murax.systemDebugger_1.$procmux$1405
    parameter \WIDTH 67
    connect \A \murax.systemDebugger_1.dispatcher_dataShifter
    connect \B $techmap\murax.systemDebugger_1.$procmux$1403_Y
    connect \S $techmap\murax.systemDebugger_1.$procmux$1406_CMP
    connect \Y $techmap\murax.systemDebugger_1.$procmux$1405_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3229"
  cell $sshr $techmap\murax.systemDebugger_1.$sshr$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3229$672
    parameter \A_SIGNED 0
    parameter \A_WIDTH 9
    parameter \B_SIGNED 1
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 9
    connect \A { \murax.systemDebugger_1.io_remote_cmd_payload_fragment \murax.systemDebugger_1.dispatcher_headerShifter }
    connect \B 1
    connect \Y $techmap\murax.systemDebugger_1.$sshr$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3229$672_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4277|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3231"
  cell $sshr $techmap\murax.systemDebugger_1.$sshr$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3231$673
    parameter \A_SIGNED 0
    parameter \A_WIDTH 68
    parameter \B_SIGNED 1
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 68
    connect \A { \murax.systemDebugger_1.io_remote_cmd_payload_fragment \murax.systemDebugger_1.dispatcher_dataShifter }
    connect \B 1
    connect \Y $techmap\murax.systemDebugger_1.$sshr$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3231$673_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3349"
  cell $logic_not $techmap\murax.system_apbBridge.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3349$718
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_apbBridge._zz_2
    connect \Y $techmap\murax.system_apbBridge.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3349$718_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3350"
  cell $logic_not $techmap\murax.system_apbBridge.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3350$719
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_apbBridge.state
    connect \Y $techmap\murax.system_apbBridge.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3350$719_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3363"
  cell $logic_not $techmap\murax.system_apbBridge.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3363$721
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_apbBridge._zz_11
    connect \Y $techmap\murax.system_apbBridge.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3363$721_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3365"
  cell $logic_not $techmap\murax.system_apbBridge.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3365$722
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_apbBridge.simpleBusStage_cmd_payload_wr
    connect \Y $techmap\murax.system_apbBridge.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3365$722_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3386"
  cell $logic_not $techmap\murax.system_apbBridge.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3386$724
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_apbBridge.io_simpleBus_cmd_valid
    connect \Y $techmap\murax.system_apbBridge.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3386$724_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3388"
  cell $logic_not $techmap\murax.system_apbBridge.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3388$725
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_apbBridge._zz_1
    connect \Y $techmap\murax.system_apbBridge.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3388$725_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3377"
  cell $adff $techmap\murax.system_apbBridge.$procdff$2485
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 1'0
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \ARST \murax.system_apbBridge.resetCtrl_systemReset
    connect \CLK \murax.system_apbBridge.io_mainClk
    connect \D $techmap\murax.system_apbBridge.$procmux$1330_Y
    connect \Q \murax.system_apbBridge._zz_2
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3377"
  cell $adff $techmap\murax.system_apbBridge.$procdff$2486
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 1'1
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \ARST \murax.system_apbBridge.resetCtrl_systemReset
    connect \CLK \murax.system_apbBridge.io_mainClk
    connect \D $techmap\murax.system_apbBridge.$procmux$1327_Y
    connect \Q \murax.system_apbBridge._zz_3
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3377"
  cell $adff $techmap\murax.system_apbBridge.$procdff$2487
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 1'0
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \ARST \murax.system_apbBridge.resetCtrl_systemReset
    connect \CLK \murax.system_apbBridge.io_mainClk
    connect \D $techmap\murax.system_apbBridge.$procmux$1316_Y
    connect \Q \murax.system_apbBridge._zz_8
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3377"
  cell $adff $techmap\murax.system_apbBridge.$procdff$2488
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 1'0
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \ARST \murax.system_apbBridge.resetCtrl_systemReset
    connect \CLK \murax.system_apbBridge.io_mainClk
    connect \D $techmap\murax.system_apbBridge.$procmux$1324_Y
    connect \Q \murax.system_apbBridge.state
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3402"
  cell $dff $techmap\murax.system_apbBridge.$procdff$2489
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \CLK \murax.system_apbBridge.io_mainClk
    connect \D $techmap\murax.system_apbBridge.$procmux$1338_Y
    connect \Q \murax.system_apbBridge._zz_4
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3402"
  cell $dff $techmap\murax.system_apbBridge.$procdff$2490
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 32
    connect \CLK \murax.system_apbBridge.io_mainClk
    connect \D $techmap\murax.system_apbBridge.$procmux$1336_Y
    connect \Q \murax.system_apbBridge._zz_5
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3402"
  cell $dff $techmap\murax.system_apbBridge.$procdff$2491
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 32
    connect \CLK \murax.system_apbBridge.io_mainClk
    connect \D $techmap\murax.system_apbBridge.$procmux$1334_Y
    connect \Q \murax.system_apbBridge._zz_6
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3402"
  cell $dff $techmap\murax.system_apbBridge.$procdff$2492
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 4
    connect \CLK \murax.system_apbBridge.io_mainClk
    connect \D $techmap\murax.system_apbBridge.$procmux$1332_Y
    connect \Q \murax.system_apbBridge._zz_7
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3402"
  cell $dff $techmap\murax.system_apbBridge.$procdff$2493
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 32
    connect \CLK \murax.system_apbBridge.io_mainClk
    connect \D \murax.system_apbBridge.io_apb_PRDATA
    connect \Q \murax.system_apbBridge._zz_9
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3364"
  cell $mux $techmap\murax.system_apbBridge.$procmux$1305
    parameter \WIDTH 1
    connect \A 1'0
    connect \B 1'1
    connect \S $techmap\murax.system_apbBridge.$procmux$1306_CMP
    connect \Y $techmap\murax.system_apbBridge.$procmux$1305_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3363"
  cell $mux $techmap\murax.system_apbBridge.$procmux$1307
    parameter \WIDTH 1
    connect \A 1'x
    connect \B $techmap\murax.system_apbBridge.$procmux$1305_Y
    connect \S $techmap\murax.system_apbBridge.$procmux$1308_CMP
    connect \Y $techmap\murax.system_apbBridge.$procmux$1307_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3364"
  cell $mux $techmap\murax.system_apbBridge.$procmux$1311
    parameter \WIDTH 1
    connect \A 1'0
    connect \B $techmap\murax.system_apbBridge.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3365$722_Y
    connect \S $techmap\murax.system_apbBridge.$procmux$1312_CMP
    connect \Y $techmap\murax.system_apbBridge.$procmux$1311_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3363"
  cell $mux $techmap\murax.system_apbBridge.$procmux$1313
    parameter \WIDTH 1
    connect \A 1'x
    connect \B $techmap\murax.system_apbBridge.$procmux$1311_Y
    connect \S $techmap\murax.system_apbBridge.$procmux$1314_CMP
    connect \Y $techmap\murax.system_apbBridge.$procmux$1313_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3363"
  cell $mux $techmap\murax.system_apbBridge.$procmux$1316
    parameter \WIDTH 1
    connect \A 1'0
    connect \B $techmap\murax.system_apbBridge.$2\simpleBusStage_rsp_valid[0:0]
    connect \S $techmap\murax.system_apbBridge.$procmux$1317_CMP
    connect \Y $techmap\murax.system_apbBridge.$procmux$1316_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3363"
  cell $mux $techmap\murax.system_apbBridge.$procmux$1319
    parameter \WIDTH 1
    connect \A 1'0
    connect \B $techmap\murax.system_apbBridge.$2\simpleBusStage_cmd_ready[0:0]
    connect \S $techmap\murax.system_apbBridge.$procmux$1320_CMP
    connect \Y $techmap\murax.system_apbBridge.$procmux$1319_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3395"
  cell $mux $techmap\murax.system_apbBridge.$procmux$1321
    parameter \WIDTH 1
    connect \A \murax.system_apbBridge.state
    connect \B 1'0
    connect \S $techmap\murax.system_apbBridge.$procmux$1322_CMP
    connect \Y $techmap\murax.system_apbBridge.$procmux$1321_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3392"
  cell $mux $techmap\murax.system_apbBridge.$procmux$1324
    parameter \WIDTH 1
    connect \A $techmap\murax.system_apbBridge.$procmux$1321_Y
    connect \B \murax.system_apbBridge.simpleBusStage_cmd_valid
    connect \S $techmap\murax.system_apbBridge.$procmux$1325_CMP
    connect \Y $techmap\murax.system_apbBridge.$procmux$1324_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3384"
  cell $mux $techmap\murax.system_apbBridge.$procmux$1327
    parameter \WIDTH 1
    connect \A \murax.system_apbBridge._zz_1
    connect \B $techmap\murax.system_apbBridge.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3386$724_Y
    connect \S $techmap\murax.system_apbBridge.$procmux$1328_CMP
    connect \Y $techmap\murax.system_apbBridge.$procmux$1327_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3384"
  cell $mux $techmap\murax.system_apbBridge.$procmux$1330
    parameter \WIDTH 1
    connect \A $techmap\murax.system_apbBridge.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3388$725_Y
    connect \B \murax.system_apbBridge.io_simpleBus_cmd_valid
    connect \S $techmap\murax.system_apbBridge.$procmux$1331_CMP
    connect \Y $techmap\murax.system_apbBridge.$procmux$1330_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3403"
  cell $mux $techmap\murax.system_apbBridge.$procmux$1332
    parameter \WIDTH 4
    connect \A \murax.system_apbBridge._zz_7
    connect \B \murax.system_apbBridge.io_simpleBus_cmd_payload_mask
    connect \S $techmap\murax.system_apbBridge.$procmux$1333_CMP
    connect \Y $techmap\murax.system_apbBridge.$procmux$1332_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3403"
  cell $mux $techmap\murax.system_apbBridge.$procmux$1334
    parameter \WIDTH 32
    connect \A \murax.system_apbBridge._zz_6
    connect \B \murax.system_apbBridge.io_simpleBus_cmd_payload_data
    connect \S $techmap\murax.system_apbBridge.$procmux$1335_CMP
    connect \Y $techmap\murax.system_apbBridge.$procmux$1334_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3403"
  cell $mux $techmap\murax.system_apbBridge.$procmux$1336
    parameter \WIDTH 32
    connect \A \murax.system_apbBridge._zz_5
    connect \B \murax.system_apbBridge.io_simpleBus_cmd_payload_address
    connect \S $techmap\murax.system_apbBridge.$procmux$1337_CMP
    connect \Y $techmap\murax.system_apbBridge.$procmux$1336_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4309|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3403"
  cell $mux $techmap\murax.system_apbBridge.$procmux$1338
    parameter \WIDTH 1
    connect \A \murax.system_apbBridge._zz_4
    connect \B \murax.system_apbBridge.io_simpleBus_cmd_payload_wr
    connect \S $techmap\murax.system_apbBridge.$procmux$1339_CMP
    connect \Y $techmap\murax.system_apbBridge.$procmux$1338_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1497"
  cell $add $techmap\murax.system_cpu.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1497$146
    parameter \A_SIGNED 1
    parameter \A_WIDTH 32
    parameter \B_SIGNED 1
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 32
    connect \A \murax.system_cpu._zz_168
    connect \B \murax.system_cpu._zz_172
    connect \Y $techmap\murax.system_cpu.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1497$146_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1498"
  cell $add $techmap\murax.system_cpu.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1498$147
    parameter \A_SIGNED 1
    parameter \A_WIDTH 32
    parameter \B_SIGNED 1
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 32
    connect \A \murax.system_cpu._zz_169
    connect \B \murax.system_cpu._zz_170
    connect \Y $techmap\murax.system_cpu.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1498$147_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1930"
  cell $add $techmap\murax.system_cpu.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1930$292
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 32
    connect \A \murax.system_cpu.prefetch_PcManagerSimplePlugin_pcReg
    connect \B 4
    connect \Y $techmap\murax.system_cpu.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1930$292_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1937"
  cell $add $techmap\murax.system_cpu.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1937$294
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 32
    connect \A \murax.system_cpu.prefetch_PC
    connect \B 4
    connect \Y $techmap\murax.system_cpu.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1937$294_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2489"
  cell $add $techmap\murax.system_cpu.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2489$433
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 32
    connect \A \murax.system_cpu.execute_BranchPlugin_branch_src1
    connect \B \murax.system_cpu.execute_BranchPlugin_branch_src2
    connect \Y $techmap\murax.system_cpu.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2489$433_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2713"
  cell $add $techmap\murax.system_cpu.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2713$568
    parameter \A_SIGNED 0
    parameter \A_WIDTH 64
    parameter \B_SIGNED 0
    parameter \B_WIDTH 64
    parameter \Y_WIDTH 64
    connect \A \murax.system_cpu.CsrPlugin_mcycle
    connect \B 64'0000000000000000000000000000000000000000000000000000000000000001
    connect \Y $techmap\murax.system_cpu.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2713$568_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2715"
  cell $add $techmap\murax.system_cpu.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2715$569
    parameter \A_SIGNED 0
    parameter \A_WIDTH 64
    parameter \B_SIGNED 0
    parameter \B_WIDTH 64
    parameter \Y_WIDTH 64
    connect \A \murax.system_cpu.CsrPlugin_minstret
    connect \B 64'0000000000000000000000000000000000000000000000000000000000000001
    connect \Y $techmap\murax.system_cpu.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2715$569_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1478"
  cell $and $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1478$142
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 2
    connect \A \murax.system_cpu._zz_79
    connect \B $techmap\murax.system_cpu.$not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1478$141_Y
    connect \Y $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1478$142_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1517"
  cell $and $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1517$154
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 32
    connect \A \murax.system_cpu.decode_INSTRUCTION
    connect \B 4096
    connect \Y $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1517$154_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1519"
  cell $and $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1519$155
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 32
    connect \A \murax.system_cpu.decode_INSTRUCTION
    connect \B 12288
    connect \Y $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1519$155_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1520"
  cell $and $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1520$157
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 32
    connect \A \murax.system_cpu.decode_INSTRUCTION
    connect \B 12288
    connect \Y $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1520$157_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1521"
  cell $and $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1521$159
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 32
    connect \A \murax.system_cpu.decode_INSTRUCTION
    connect \B 1060944
    connect \Y $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1521$159_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1535"
  cell $and $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1535$169
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 32
    connect \A \murax.system_cpu.decode_INSTRUCTION
    connect \B 4112
    connect \Y $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1535$169_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1537"
  cell $and $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1537$170
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 32
    connect \A \murax.system_cpu.decode_INSTRUCTION
    connect \B \murax.system_cpu._zz_221
    connect \Y $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1537$170_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1538"
  cell $and $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1538$172
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 32
    connect \A \murax.system_cpu.decode_INSTRUCTION
    connect \B \murax.system_cpu._zz_222
    connect \Y $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1538$172_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1539"
  cell $and $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1539$174
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 32
    connect \A \murax.system_cpu.decode_INSTRUCTION
    connect \B 112
    connect \Y $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1539$174_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1541"
  cell $and $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1541$175
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 32
    connect \A \murax.system_cpu.decode_INSTRUCTION
    connect \B \murax.system_cpu._zz_223
    connect \Y $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1541$175_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1554"
  cell $and $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1554$182
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 32
    connect \A \murax.system_cpu.decode_INSTRUCTION
    connect \B 8212
    connect \Y $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1554$182_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1556"
  cell $and $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1556$183
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 32
    connect \A \murax.system_cpu.decode_INSTRUCTION
    connect \B 84
    connect \Y $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1556$183_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1558"
  cell $and $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1558$184
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 32
    connect \A \murax.system_cpu.decode_INSTRUCTION
    connect \B 16388
    connect \Y $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1558$184_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1560"
  cell $and $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1560$185
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 32
    connect \A \murax.system_cpu.decode_INSTRUCTION
    connect \B 100
    connect \Y $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1560$185_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1561"
  cell $and $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1561$187
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 32
    connect \A \murax.system_cpu.decode_INSTRUCTION
    connect \B 12292
    connect \Y $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1561$187_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1562"
  cell $and $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1562$189
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 32
    connect \A \murax.system_cpu.decode_INSTRUCTION
    connect \B 536883280
    connect \Y $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1562$189_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1568"
  cell $and $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1568$195
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 32
    connect \A \murax.system_cpu.decode_INSTRUCTION
    connect \B 28756
    connect \Y $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1568$195_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1570"
  cell $and $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1570$196
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 32
    connect \A \murax.system_cpu.decode_INSTRUCTION
    connect \B \murax.system_cpu._zz_247
    connect \Y $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1570$196_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1571"
  cell $and $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1571$198
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 32
    connect \A \murax.system_cpu.decode_INSTRUCTION
    connect \B \murax.system_cpu._zz_248
    connect \Y $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1571$198_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1579"
  cell $and $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1579$205
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 32
    connect \A \murax.system_cpu.decode_INSTRUCTION
    connect \B 8208
    connect \Y $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1579$205_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1581"
  cell $and $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1581$206
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 32
    connect \A \murax.system_cpu.decode_INSTRUCTION
    connect \B 20480
    connect \Y $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1581$206_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1583"
  cell $and $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1583$207
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 32
    connect \A \murax.system_cpu.decode_INSTRUCTION
    connect \B 88
    connect \Y $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1583$207_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1586"
  cell $and $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1586$209
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 32
    connect \A \murax.system_cpu.decode_INSTRUCTION
    connect \B \murax.system_cpu._zz_259
    connect \Y $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1586$209_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1590"
  cell $and $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1590$217
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 32
    connect \A \murax.system_cpu.decode_INSTRUCTION
    connect \B 80
    connect \Y $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1590$217_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1592"
  cell $and $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1592$218
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 32
    connect \A \murax.system_cpu.decode_INSTRUCTION
    connect \B 52
    connect \Y $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1592$218_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1593"
  cell $and $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1593$220
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 32
    connect \A \murax.system_cpu.decode_INSTRUCTION
    connect \B 100
    connect \Y $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1593$220_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1594"
  cell $and $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1594$222
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 32
    connect \A \murax.system_cpu.decode_INSTRUCTION
    connect \B \murax.system_cpu._zz_269
    connect \Y $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1594$222_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1594"
  cell $and $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1594$224
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 32
    connect \A \murax.system_cpu.decode_INSTRUCTION
    connect \B \murax.system_cpu._zz_268
    connect \Y $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1594$224_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1596"
  cell $and $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1596$227
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 32
    connect \A \murax.system_cpu.decode_INSTRUCTION
    connect \B \murax.system_cpu._zz_270
    connect \Y $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1596$227_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1601"
  cell $and $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1601$229
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 32
    connect \A \murax.system_cpu.decode_INSTRUCTION
    connect \B 24
    connect \Y $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1601$229_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1603"
  cell $and $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1603$230
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 32
    connect \A \murax.system_cpu.decode_INSTRUCTION
    connect \B 20484
    connect \Y $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1603$230_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2139"
  cell $and $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2139$353
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 32
    connect \A \murax.system_cpu.memory_REGFILE_WRITE_DATA
    connect \B $techmap\murax.system_cpu.$not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2139$352_Y
    connect \Y $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2139$353_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2149"
  cell $and $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2149$363
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 32
    connect \A \murax.system_cpu.decode_INSTRUCTION
    connect \B 24580
    connect \Y $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2149$363_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2150"
  cell $and $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2150$365
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 32
    connect \A \murax.system_cpu.decode_INSTRUCTION
    connect \B 20
    connect \Y $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2150$365_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2151"
  cell $and $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2151$367
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 32
    connect \A \murax.system_cpu.decode_INSTRUCTION
    connect \B 16
    connect \Y $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2151$367_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2152"
  cell $and $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2152$369
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 32
    connect \A \murax.system_cpu.decode_INSTRUCTION
    connect \B 80
    connect \Y $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2152$369_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2153"
  cell $and $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2153$371
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 32
    connect \A \murax.system_cpu.decode_INSTRUCTION
    connect \B 32
    connect \Y $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2153$371_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2154"
  cell $and $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2154$373
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 32
    connect \A \murax.system_cpu.decode_INSTRUCTION
    connect \B 4
    connect \Y $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2154$373_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2200"
  cell $and $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2200$383
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 32
    connect \A \murax.system_cpu.execute_SRC1
    connect \B \murax.system_cpu.execute_SRC2
    connect \Y $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2200$383_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2386"
  cell $and $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2386$423
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 3
    connect \A \murax.system_cpu._zz_120
    connect \B 3'101
    connect \Y $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2386$423_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1474"
  cell $eq $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1474$138
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.memory_ENV_CTRL
    connect \B 2'10
    connect \Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1474$138_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1506"
  cell $eq $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1506$152
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_SHIFT_CTRL
    connect \B 2'11
    connect \Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1506$152_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1519"
  cell $eq $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1519$156
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1519$155_Y
    connect \B 4096
    connect \Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1519$156_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1520"
  cell $eq $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1520$158
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1520$157_Y
    connect \B 8192
    connect \Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1520$158_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1521"
  cell $eq $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1521$160
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1521$159_Y
    connect \B 80
    connect \Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1521$160_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1527"
  cell $eq $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1527$164
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu._zz_205
    connect \B \murax.system_cpu._zz_206
    connect \Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1527$164_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1529"
  cell $eq $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1529$165
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu._zz_209
    connect \B \murax.system_cpu._zz_210
    connect \Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1529$165_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1537"
  cell $eq $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1537$171
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1537$170_Y
    connect \B 8208
    connect \Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1537$171_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1538"
  cell $eq $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1538$173
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1538$172_Y
    connect \B 16
    connect \Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1538$173_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1541"
  cell $eq $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1541$176
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1541$175_Y
    connect \B 1073741872
    connect \Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1541$176_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1542"
  cell $eq $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1542$177
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu._zz_224
    connect \B \murax.system_cpu._zz_225
    connect \Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1542$177_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1543"
  cell $eq $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1543$178
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu._zz_226
    connect \B \murax.system_cpu._zz_227
    connect \Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1543$178_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1544"
  cell $eq $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1544$179
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu._zz_228
    connect \B \murax.system_cpu._zz_229
    connect \Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1544$179_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1560"
  cell $eq $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1560$186
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1560$185_Y
    connect \B 36
    connect \Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1560$186_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1561"
  cell $eq $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1561$188
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1561$187_Y
    connect \B 4096
    connect \Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1561$188_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1562"
  cell $eq $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1562$190
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1562$189_Y
    connect \B 80
    connect \Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1562$190_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1565"
  cell $eq $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1565$191
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu._zz_238
    connect \B \murax.system_cpu._zz_239
    connect \Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1565$191_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1570"
  cell $eq $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1570$197
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1570$196_Y
    connect \B 1073745936
    connect \Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1570$197_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1571"
  cell $eq $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1571$199
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1571$198_Y
    connect \B 4112
    connect \Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1571$199_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1572"
  cell $eq $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1572$200
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu._zz_251
    connect \B \murax.system_cpu._zz_252
    connect \Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1572$200_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1572"
  cell $eq $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1572$201
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu._zz_249
    connect \B \murax.system_cpu._zz_250
    connect \Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1572$201_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1583"
  cell $eq $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1583$208
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1583$207_Y
    connect \B 64
    connect \Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1583$208_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1586"
  cell $eq $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1586$210
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1586$209_Y
    connect \B 4
    connect \Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1586$210_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1587"
  cell $eq $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1587$212
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu._zz_260
    connect \B \murax.system_cpu._zz_261
    connect \Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1587$212_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1592"
  cell $eq $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1592$219
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1592$218_Y
    connect \B 32
    connect \Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1592$219_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1593"
  cell $eq $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1593$221
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1593$220_Y
    connect \B 32
    connect \Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1593$221_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1594"
  cell $eq $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1594$223
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1594$222_Y
    connect \B 8272
    connect \Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1594$223_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1594"
  cell $eq $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1594$225
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1594$224_Y
    connect \B 4176
    connect \Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1594$225_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1596"
  cell $eq $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1596$226
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu._zz_271
    connect \B \murax.system_cpu._zz_272
    connect \Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1596$226_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1596"
  cell $eq $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1596$228
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1596$227_Y
    connect \B 0
    connect \Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1596$228_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1603"
  cell $eq $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1603$231
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1603$230_Y
    connect \B 4096
    connect \Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1603$231_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1711"
  cell $eq $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1711$243
    parameter \A_SIGNED 0
    parameter \A_WIDTH 5
    parameter \B_SIGNED 0
    parameter \B_WIDTH 5
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.decode_INSTRUCTION [11:7]
    connect \B 5'00000
    connect \Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1711$243_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2093"
  cell $eq $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2093$338
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.decode_INSTRUCTION [14:13]
    connect \B 2'01
    connect \Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2093$338_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2093"
  cell $eq $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2093$339
    parameter \A_SIGNED 0
    parameter \A_WIDTH 5
    parameter \B_SIGNED 0
    parameter \B_WIDTH 5
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.decode_INSTRUCTION [19:15]
    connect \B 5'00000
    connect \Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2093$339_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2093"
  cell $eq $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2093$341
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.decode_INSTRUCTION [14:13]
    connect \B 2'11
    connect \Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2093$341_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2093"
  cell $eq $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2093$342
    parameter \A_SIGNED 0
    parameter \A_WIDTH 5
    parameter \B_SIGNED 0
    parameter \B_WIDTH 5
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.decode_INSTRUCTION [19:15]
    connect \B 5'00000
    connect \Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2093$342_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2149"
  cell $eq $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2149$364
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2149$363_Y
    connect \B 8192
    connect \Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2149$364_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2150"
  cell $eq $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2150$366
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2150$365_Y
    connect \B 4
    connect \Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2150$366_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2151"
  cell $eq $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2151$368
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2151$367_Y
    connect \B 16
    connect \Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2151$368_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2152"
  cell $eq $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2152$370
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2152$369_Y
    connect \B 80
    connect \Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2152$370_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2153"
  cell $eq $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2153$372
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2153$371_Y
    connect \B 0
    connect \Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2153$372_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2154"
  cell $eq $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2154$374
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2154$373_Y
    connect \B 4
    connect \Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2154$374_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2155"
  cell $eq $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2155$378
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu._zz_187
    connect \B \murax.system_cpu._zz_188
    connect \Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2155$378_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2311"
  cell $eq $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2311$391
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_SRC1 [31]
    connect \B \murax.system_cpu.execute_SRC2 [31]
    connect \Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2311$391_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2318"
  cell $eq $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2318$397
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_LightShifterPlugin_amplitude [4:1]
    connect \B 4'0000
    connect \Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2318$397_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2334"
  cell $eq $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2334$401
    parameter \A_SIGNED 0
    parameter \A_WIDTH 5
    parameter \B_SIGNED 0
    parameter \B_WIDTH 5
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu._zz_119
    connect \B \murax.system_cpu.decode_INSTRUCTION [19:15]
    connect \Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2334$401_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2337"
  cell $eq $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2337$402
    parameter \A_SIGNED 0
    parameter \A_WIDTH 5
    parameter \B_SIGNED 0
    parameter \B_WIDTH 5
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu._zz_119
    connect \B \murax.system_cpu.decode_INSTRUCTION [24:20]
    connect \Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2337$402_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2343"
  cell $eq $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2343$404
    parameter \A_SIGNED 0
    parameter \A_WIDTH 5
    parameter \B_SIGNED 0
    parameter \B_WIDTH 5
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.writeBack_INSTRUCTION [11:7]
    connect \B \murax.system_cpu.decode_INSTRUCTION [19:15]
    connect \Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2343$404_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2346"
  cell $eq $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2346$405
    parameter \A_SIGNED 0
    parameter \A_WIDTH 5
    parameter \B_SIGNED 0
    parameter \B_WIDTH 5
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.writeBack_INSTRUCTION [11:7]
    connect \B \murax.system_cpu.decode_INSTRUCTION [24:20]
    connect \Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2346$405_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2353"
  cell $eq $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2353$409
    parameter \A_SIGNED 0
    parameter \A_WIDTH 5
    parameter \B_SIGNED 0
    parameter \B_WIDTH 5
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.memory_INSTRUCTION [11:7]
    connect \B \murax.system_cpu.decode_INSTRUCTION [19:15]
    connect \Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2353$409_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2356"
  cell $eq $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2356$410
    parameter \A_SIGNED 0
    parameter \A_WIDTH 5
    parameter \B_SIGNED 0
    parameter \B_WIDTH 5
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.memory_INSTRUCTION [11:7]
    connect \B \murax.system_cpu.decode_INSTRUCTION [24:20]
    connect \Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2356$410_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2363"
  cell $eq $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2363$414
    parameter \A_SIGNED 0
    parameter \A_WIDTH 5
    parameter \B_SIGNED 0
    parameter \B_WIDTH 5
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_INSTRUCTION [11:7]
    connect \B \murax.system_cpu.decode_INSTRUCTION [19:15]
    connect \Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2363$414_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2366"
  cell $eq $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2366$415
    parameter \A_SIGNED 0
    parameter \A_WIDTH 5
    parameter \B_SIGNED 0
    parameter \B_WIDTH 5
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_INSTRUCTION [11:7]
    connect \B \murax.system_cpu.decode_INSTRUCTION [24:20]
    connect \Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2366$415_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2379"
  cell $eq $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2379$418
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_SRC1
    connect \B \murax.system_cpu.execute_SRC2
    connect \Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2379$418_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2382"
  cell $eq $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2382$420
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu._zz_120
    connect \B 3'000
    connect \Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2382$420_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2384"
  cell $eq $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2384$421
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu._zz_120
    connect \B 3'001
    connect \Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2384$421_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2386"
  cell $eq $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2386$424
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2386$423_Y
    connect \B 3'101
    connect \Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2386$424_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2411"
  cell $eq $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2411$427
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_BRANCH_CTRL
    connect \B 2'11
    connect \Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2411$427_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2490"
  cell $eq $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2490$434
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_BRANCH_CTRL
    connect \B 2'11
    connect \Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2490$434_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1470"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1470$133
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_arbitration_isFiring
    connect \B \murax.system_cpu.execute_IS_EBREAK
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1470$133_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1471"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1471$134
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_arbitration_isValid
    connect \B \murax.system_cpu.execute_LightShifterPlugin_isShift
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1471$134_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1471"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1471$136
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1471$134_Y
    connect \B $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1471$135_Y
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1471$136_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1475"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1475$139
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.CsrPlugin_interrupt
    connect \B \murax.system_cpu.CsrPlugin_pipelineLiberator_done
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1475$139_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1480"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1480$144
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.CsrPlugin_mip_MSIP
    connect \B \murax.system_cpu.CsrPlugin_mie_MSIE
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1480$144_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1506"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1506$153
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1506$152_Y
    connect \B \murax.system_cpu.execute_LightShifterPlugin_shiftInput [31]
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1506$153_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1719"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1719$245
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_arbitration_isValid
    connect \B \murax.system_cpu.execute_MEMORY_ENABLE
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1719$245_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1719"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1719$247
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1719$245_Y
    connect \B $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1719$246_Y
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1719$247_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1719"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1719$249
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1719$247_Y
    connect \B $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1719$248_Y
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1719$249_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1722"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1722$251
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_CsrPlugin_writeInstruction
    connect \B $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1722$250_Y
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1722$251_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1725"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1725$252
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_arbitration_isValid
    connect \B \murax.system_cpu.execute_IS_CSR
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1725$252_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1748"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1748$255
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.writeBack_arbitration_isValid
    connect \B \murax.system_cpu.writeBack_MEMORY_ENABLE
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1748$255_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1779"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1779$260
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.prefetch_IBusSimplePlugin_pendingCmd
    connect \B $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1779$259_Y
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1779$260_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1810"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1810$266
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.fetch_arbitration_isValid
    connect \B $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1810$265_Y
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1810$266_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1810"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1810$268
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1810$266_Y
    connect \B $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1810$267_Y
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1810$268_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1827"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1827$271
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.decode_arbitration_isValid
    connect \B \murax.system_cpu.decode_IS_CSR
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1827$271_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1827"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1827$273
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1827$271_Y
    connect \B $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1827$272_Y
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1827$273_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1830"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1830$275
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.decode_arbitration_isValid
    connect \B $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1830$274_Y
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1830$275_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1886"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1886$283
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.memory_arbitration_isValid
    connect \B \murax.system_cpu.memory_MEMORY_ENABLE
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1886$283_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1886"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1886$285
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1886$283_Y
    connect \B $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1886$284_Y
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1886$285_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1886"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1886$287
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1886$285_Y
    connect \B $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1886$286_Y
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1886$287_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1938"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1938$296
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.prefetch_arbitration_isValid
    connect \B $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1938$295_Y
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1938$296_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1938"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1938$298
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1938$296_Y
    connect \B $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1938$297_Y
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1938$298_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1938"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1938$300
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.prefetch_IBusSimplePlugin_pendingCmd
    connect \B $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1938$299_Y
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1938$300_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1938"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1938$302
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1938$298_Y
    connect \B $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1938$301_Y
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1938$302_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1949"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1949$305
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_arbitration_isValid
    connect \B \murax.system_cpu.execute_MEMORY_ENABLE
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1949$305_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1949"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1949$307
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1949$305_Y
    connect \B $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1949$306_Y
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1949$307_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1949"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1949$309
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1949$307_Y
    connect \B $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1949$308_Y
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1949$309_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1949"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1949$311
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1949$309_Y
    connect \B $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1949$310_Y
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1949$311_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2002"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2002$317
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.writeBack_DBusSimplePlugin_rspShifted [7]
    connect \B $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2002$316_Y
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2002$317_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2031"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2031$320
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.writeBack_DBusSimplePlugin_rspShifted [15]
    connect \B $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2031$319_Y
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2031$320_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2077"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2077$329
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.CsrPlugin_mip_MSIP
    connect \B \murax.system_cpu.CsrPlugin_mie_MSIE
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2077$329_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2077"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2077$330
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.CsrPlugin_mip_MEIP
    connect \B \murax.system_cpu.CsrPlugin_mie_MEIE
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2077$330_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2077"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2077$332
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.CsrPlugin_mip_MTIP
    connect \B \murax.system_cpu.CsrPlugin_mie_MTIE
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2077$332_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2077"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2077$334
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2077$333_Y
    connect \B \murax.system_cpu.CsrPlugin_mstatus_MIE
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2077$334_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2078"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2078$335
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.CsrPlugin_interruptRequest
    connect \B \murax.system_cpu._zz_77
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2078$335_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2093"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2093$340
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2093$338_Y
    connect \B $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2093$339_Y
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2093$340_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2093"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2093$343
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2093$341_Y
    connect \B $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2093$342_Y
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2093$343_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2096"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2096$348
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_arbitration_isValid
    connect \B \murax.system_cpu.execute_IS_CSR
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2096$348_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2144"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2144$356
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_arbitration_isValid
    connect \B \murax.system_cpu.execute_IS_CSR
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2144$356_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2144"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2144$357
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2144$356_Y
    connect \B \murax.system_cpu.execute_CSR_WRITE_OPCODE
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2144$357_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2145"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2145$358
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_arbitration_isValid
    connect \B \murax.system_cpu.execute_IS_CSR
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2145$358_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2145"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2145$359
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2145$358_Y
    connect \B \murax.system_cpu.execute_CSR_READ_OPCODE
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2145$359_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2146"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2146$360
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_CsrPlugin_writeInstruction
    connect \B \murax.system_cpu.execute_CsrPlugin_readDataRegValid
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2146$360_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2147"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2147$362
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_CsrPlugin_readInstruction
    connect \B $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2147$361_Y
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2147$362_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2189"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2189$381
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu._zz_37
    connect \B \murax.system_cpu.writeBack_arbitration_isFiring
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2189$381_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2341"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2341$403
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.writeBack_arbitration_isValid
    connect \B \murax.system_cpu.writeBack_REGFILE_WRITE_VALID
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2341$403_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2351"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2351$406
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.memory_arbitration_isValid
    connect \B \murax.system_cpu.memory_REGFILE_WRITE_VALID
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2351$406_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2361"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2361$411
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_arbitration_isValid
    connect \B \murax.system_cpu.execute_REGFILE_WRITE_VALID
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2361$411_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2491"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2491$436
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.memory_arbitration_isFiring
    connect \B \murax.system_cpu.memory_BRANCH_DO
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2491$436_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2532"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2532$464
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2532$462_Y
    connect \B $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2532$463_Y
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2532$464_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2533"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2533$466
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.prefetch_arbitration_isValid
    connect \B $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2533$465_Y
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2533$466_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2533"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2533$468
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2533$466_Y
    connect \B $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2533$467_Y
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2533$468_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2536"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2536$477
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2536$475_Y
    connect \B $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2536$476_Y
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2536$477_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2537"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2537$479
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.fetch_arbitration_isValid
    connect \B $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2537$478_Y
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2537$479_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2537"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2537$481
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2537$479_Y
    connect \B $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2537$480_Y
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2537$481_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2540"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2540$489
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2540$487_Y
    connect \B $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2540$488_Y
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2540$489_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2541"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2541$491
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.decode_arbitration_isValid
    connect \B $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2541$490_Y
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2541$491_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2541"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2541$493
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2541$491_Y
    connect \B $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2541$492_Y
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2541$493_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2544"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2544$500
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2544$498_Y
    connect \B $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2544$499_Y
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2544$500_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2545"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2545$502
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_arbitration_isValid
    connect \B $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2545$501_Y
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2545$502_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2545"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2545$504
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2545$502_Y
    connect \B $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2545$503_Y
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2545$504_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2548"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2548$510
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2548$508_Y
    connect \B $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2548$509_Y
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2548$510_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2549"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2549$512
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.memory_arbitration_isValid
    connect \B $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2549$511_Y
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2549$512_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2549"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2549$514
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2549$512_Y
    connect \B $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2549$513_Y
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2549$514_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2552"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2552$519
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2552$517_Y
    connect \B $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2552$518_Y
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2552$519_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2553"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2553$521
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.writeBack_arbitration_isValid
    connect \B $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2553$520_Y
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2553$521_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2553"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2553$523
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2553$521_Y
    connect \B $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2553$522_Y
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2553$523_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2591"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2591$525
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu._zz_135
    connect \B \murax.system_cpu.iBus_cmd_ready
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2591$525_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2625"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2625$527
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu._zz_37
    connect \B \murax.system_cpu.writeBack_arbitration_isFiring
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2625$527_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2648"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2648$534
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2648$532_Y
    connect \B $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2648$533_Y
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2648$534_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2654"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2654$539
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2654$537_Y
    connect \B $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2654$538_Y
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2654$539_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2660"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2660$544
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2660$542_Y
    connect \B $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2660$543_Y
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2660$544_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2666"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2666$549
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2666$547_Y
    connect \B $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2666$548_Y
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2666$549_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2672"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2672$554
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2672$552_Y
    connect \B $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2672$553_Y
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2672$554_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2707"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2707$557
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.dBus_rsp_ready
    connect \B \murax.system_cpu.memory_MEMORY_ENABLE
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2707$557_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2707"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2707$558
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2707$557_Y
    connect \B \murax.system_cpu.memory_arbitration_isValid
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2707$558_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2707"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2707$559
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2707$558_Y
    connect \B \murax.system_cpu.memory_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2707$559_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2710"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2710$562
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.writeBack_arbitration_isValid
    connect \B \murax.system_cpu.writeBack_MEMORY_ENABLE
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2710$562_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2710"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2710$564
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2710$562_Y
    connect \B $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2710$563_Y
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2710$564_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2710"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2710$565
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2710$564_Y
    connect \B \murax.system_cpu.writeBack_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2710$565_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2720"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2720$570
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.CsrPlugin_mip_MEIP
    connect \B \murax.system_cpu.CsrPlugin_mie_MEIE
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2720$570_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2925"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2925$623
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.DebugPlugin_stepIt
    connect \B \murax.system_cpu.prefetch_arbitration_isFiring
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2925$623_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2928"
  cell $logic_and $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2928$625
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.DebugPlugin_stepIt
    connect \B $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2928$624_Y
    connect \Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2928$625_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1472"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1472$137
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_arbitration_isStuckByOthers
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1472$137_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1719"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1719$246
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.dBus_cmd_ready
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1719$246_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1719"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1719$248
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_ALIGNEMENT_FAULT
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1719$248_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1722"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1722$250
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_CsrPlugin_readDataRegValid
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1722$250_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1731"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1731$253
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_LightShifterPlugin_done
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1731$253_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1779"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1779$258
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.iBus_cmd_ready
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1779$258_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1779"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1779$259
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.iBus_rsp_ready
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1779$259_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1810"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1810$265
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.iBus_rsp_ready
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1810$265_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1810"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1810$267
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu._zz_81
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1810$267_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1845"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1845$278
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1845$277_Y
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1845$278_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1886"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1886$284
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.memory_INSTRUCTION [5]
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1886$284_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1886"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1886$286
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.dBus_rsp_ready
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1886$286_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1938"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1938$295
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.prefetch_arbitration_removeIt
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1938$295_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1938"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1938$297
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.prefetch_arbitration_isStuckByOthers
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1938$297_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1938"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1938$299
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.iBus_rsp_ready
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1938$299_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1938"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1938$301
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1938$300_Y
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1938$301_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1949"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1949$306
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_arbitration_isStuckByOthers
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1949$306_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1949"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1949$308
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_arbitration_removeIt
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1949$308_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1949"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1949$310
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_ALIGNEMENT_FAULT
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1949$310_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2002"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2002$316
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.writeBack_INSTRUCTION [14]
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2002$316_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2031"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2031$319
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.writeBack_INSTRUCTION [14]
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2031$319_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2076"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2076$328
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2076$327_Y
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2076$328_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2093"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2093$345
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2093$344_Y
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2093$345_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2147"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2147$361
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_CsrPlugin_readDataRegValid
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2147$361_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2352"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2352$407
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.memory_BYPASSABLE_MEMORY_STAGE
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2352$407_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2362"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2362$412
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_BYPASSABLE_EXECUTE_STAGE
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2362$412_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2371"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2371$416
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.decode_RS1_USE
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2371$416_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2374"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2374$417
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.decode_RS2_USE
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2374$417_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2385"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2385$422
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_BranchPlugin_eq
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2385$422_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2387"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2387$425
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_SRC_LESS
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2387$425_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2495"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2495$439
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu._zz_131
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2495$439_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2532"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2532$462
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.prefetch_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2532$462_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2532"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2532$463
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.prefetch_arbitration_removeIt
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2532$463_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2533"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2533$465
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.prefetch_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2533$465_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2533"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2533$467
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.prefetch_arbitration_removeIt
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2533$467_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2536"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2536$475
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.fetch_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2536$475_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2536"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2536$476
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.fetch_arbitration_removeIt
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2536$476_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2537"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2537$478
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.fetch_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2537$478_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2537"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2537$480
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.fetch_arbitration_removeIt
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2537$480_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2540"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2540$487
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.decode_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2540$487_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2540"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2540$488
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.decode_arbitration_removeIt
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2540$488_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2541"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2541$490
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.decode_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2541$490_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2541"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2541$492
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.decode_arbitration_removeIt
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2541$492_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2544"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2544$498
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2544$498_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2544"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2544$499
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_arbitration_removeIt
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2544$499_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2545"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2545$501
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2545$501_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2545"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2545$503
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_arbitration_removeIt
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2545$503_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2548"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2548$508
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.memory_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2548$508_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2548"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2548$509
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.memory_arbitration_removeIt
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2548$509_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2549"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2549$511
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.memory_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2549$511_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2549"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2549$513
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.memory_arbitration_removeIt
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2549$513_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2552"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2552$517
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.writeBack_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2552$517_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2552"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2552$518
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.writeBack_arbitration_removeIt
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2552$518_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2553"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2553$520
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.writeBack_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2553$520_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2553"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2553$522
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.writeBack_arbitration_removeIt
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2553$522_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2597"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2597$526
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.fetch_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2597$526_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2639"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2639$528
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.writeBack_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2639$528_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2642"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2642$529
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.writeBack_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2642$529_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2645"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2645$530
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.fetch_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2645$530_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2648"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2648$532
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.prefetch_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2648$532_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2648"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2648$533
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.prefetch_arbitration_removeIt
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2648$533_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2651"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2651$535
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.decode_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2651$535_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2654"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2654$537
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.fetch_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2654$537_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2654"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2654$538
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.fetch_arbitration_removeIt
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2654$538_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2657"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2657$540
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2657$540_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2660"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2660$542
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.decode_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2660$542_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2660"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2660$543
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.decode_arbitration_removeIt
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2660$543_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2663"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2663$545
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.memory_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2663$545_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2666"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2666$547
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2666$547_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2666"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2666$548
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_arbitration_removeIt
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2666$548_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2669"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2669$550
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.writeBack_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2669$550_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2672"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2672$552
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.memory_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2672$552_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2672"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2672$553
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.memory_arbitration_removeIt
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2672$553_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2704"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2704$556
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu._zz_81
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2704$556_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2707"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2707$560
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2707$559_Y
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2707$560_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2707"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2707$561
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2707$560_Y
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2707$561_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2710"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2710$563
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.writeBack_INSTRUCTION [5]
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2710$563_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2710"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2710$566
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2710$565_Y
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2710$566_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2710"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2710$567
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2710$566_Y
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2710$567_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2730"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2730$572
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2730$572_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2739"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2739$574
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2739$574_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2742"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2742$575
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.fetch_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2742$575_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2745"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2745$576
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.decode_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2745$576_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2748"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2748$577
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2748$577_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2751"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2751$578
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.memory_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2751$578_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2754"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2754$579
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.writeBack_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2754$579_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2757"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2757$580
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2757$580_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2760"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2760$581
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2760$581_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2763"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2763$582
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2763$582_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2766"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2766$583
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2766$583_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2769"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2769$584
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.memory_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2769$584_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2772"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2772$585
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.memory_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2772$585_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2775"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2775$586
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2775$586_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2778"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2778$587
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2778$587_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2781"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2781$588
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2781$588_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2784"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2784$589
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2784$589_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2787"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2787$590
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2787$590_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2790"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2790$591
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.memory_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2790$591_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2793"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2793$592
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.writeBack_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2793$592_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2796"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2796$593
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2796$593_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2799"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2799$594
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.memory_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2799$594_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2802"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2802$595
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2802$595_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2805"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2805$596
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.memory_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2805$596_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2808"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2808$597
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2808$597_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2811"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2811$598
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2811$598_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2814"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2814$599
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.memory_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2814$599_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2817"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2817$600
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2817$600_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2820"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2820$601
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2820$601_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2823"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2823$602
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.writeBack_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2823$602_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2826"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2826$603
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2826$603_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2829"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2829$604
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2829$604_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2832"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2832$605
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.memory_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2832$605_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2835"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2835$606
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.writeBack_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2835$606_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2838"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2838$607
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2838$607_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2841"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2841$608
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.decode_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2841$608_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2844"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2844$609
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2844$609_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2847"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2847$610
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.memory_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2847$610_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2850"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2850$611
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.fetch_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2850$611_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2853"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2853$612
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.decode_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2853$612_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2856"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2856$613
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2856$613_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2859"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2859$614
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.memory_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2859$614_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2862"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2862$615
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.memory_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2862$615_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2865"
  cell $logic_not $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2865$616
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.writeBack_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2865$616_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1475"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1475$140
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.CsrPlugin_exception
    connect \B $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1475$139_Y
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1475$140_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1779"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1779$261
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1779$258_Y
    connect \B $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1779$260_Y
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1779$261_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1827"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1827$272
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_arbitration_isValid
    connect \B \murax.system_cpu.memory_arbitration_isValid
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1827$272_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1830"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1830$274
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu._zz_116
    connect \B \murax.system_cpu._zz_117
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1830$274_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1845"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1845$276
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.DebugPlugin_firstCycle
    connect \B \murax.system_cpu.DebugPlugin_secondCycle
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1845$276_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1845"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1845$277
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1845$276_Y
    connect \B \murax.system_cpu.decode_arbitration_isValid
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1845$277_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1925"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1925$291
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.DebugPlugin_haltIt
    connect \B \murax.system_cpu.DebugPlugin_stepIt
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1925$291_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1931"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1931$293
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu._zz_74
    connect \B \murax.system_cpu._zz_78
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1931$293_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2076"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2076$324
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.fetch_arbitration_isValid
    connect \B \murax.system_cpu.decode_arbitration_isValid
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2076$324_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2076"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2076$325
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2076$324_Y
    connect \B \murax.system_cpu.execute_arbitration_isValid
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2076$325_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2076"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2076$326
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2076$325_Y
    connect \B \murax.system_cpu.memory_arbitration_isValid
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2076$326_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2076"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2076$327
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2076$326_Y
    connect \B \murax.system_cpu.writeBack_arbitration_isValid
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2076$327_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2077"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2077$331
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2077$329_Y
    connect \B $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2077$330_Y
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2077$331_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2077"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2077$333
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2077$331_Y
    connect \B $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2077$332_Y
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2077$333_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2093"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2093$344
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2093$340_Y
    connect \B $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2093$343_Y
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2093$344_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2352"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2352$408
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A 1'1
    connect \B $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2352$407_Y
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2352$408_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2362"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2362$413
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A 1'1
    connect \B $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2362$412_Y
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2362$413_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2492"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2492$437
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.DebugPlugin_isPipActive
    connect \B \murax.system_cpu._zz_130
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2492$437_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2524"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2524$440
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.prefetch_arbitration_flushAll
    connect \B \murax.system_cpu.fetch_arbitration_flushAll
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2524$440_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2524"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2524$441
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2524$440_Y
    connect \B \murax.system_cpu.decode_arbitration_flushAll
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2524$441_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2524"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2524$442
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2524$441_Y
    connect \B \murax.system_cpu.execute_arbitration_flushAll
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2524$442_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2524"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2524$443
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2524$442_Y
    connect \B \murax.system_cpu.memory_arbitration_flushAll
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2524$443_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2524"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2524$444
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2524$443_Y
    connect \B \murax.system_cpu.writeBack_arbitration_flushAll
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2524$444_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2525"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2525$445
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.fetch_arbitration_flushAll
    connect \B \murax.system_cpu.decode_arbitration_flushAll
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2525$445_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2525"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2525$446
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2525$445_Y
    connect \B \murax.system_cpu.execute_arbitration_flushAll
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2525$446_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2525"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2525$447
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2525$446_Y
    connect \B \murax.system_cpu.memory_arbitration_flushAll
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2525$447_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2525"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2525$448
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2525$447_Y
    connect \B \murax.system_cpu.writeBack_arbitration_flushAll
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2525$448_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2526"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2526$449
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.decode_arbitration_flushAll
    connect \B \murax.system_cpu.execute_arbitration_flushAll
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2526$449_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2526"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2526$450
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2526$449_Y
    connect \B \murax.system_cpu.memory_arbitration_flushAll
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2526$450_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2526"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2526$451
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2526$450_Y
    connect \B \murax.system_cpu.writeBack_arbitration_flushAll
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2526$451_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2527"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2527$452
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_arbitration_flushAll
    connect \B \murax.system_cpu.memory_arbitration_flushAll
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2527$452_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2527"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2527$453
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2527$452_Y
    connect \B \murax.system_cpu.writeBack_arbitration_flushAll
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2527$453_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2528"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2528$454
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.memory_arbitration_flushAll
    connect \B \murax.system_cpu.writeBack_arbitration_flushAll
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2528$454_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2530"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2530$455
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A 1'0
    connect \B \murax.system_cpu.fetch_arbitration_haltItself
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2530$455_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2530"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2530$456
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2530$455_Y
    connect \B \murax.system_cpu.decode_arbitration_haltItself
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2530$456_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2530"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2530$457
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2530$456_Y
    connect \B \murax.system_cpu.execute_arbitration_haltItself
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2530$457_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2530"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2530$458
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2530$457_Y
    connect \B \murax.system_cpu.memory_arbitration_haltItself
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2530$458_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2530"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2530$459
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2530$458_Y
    connect \B \murax.system_cpu.writeBack_arbitration_haltItself
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2530$459_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2530"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2530$460
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.prefetch_arbitration_haltByOther
    connect \B $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2530$459_Y
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2530$460_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2531"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2531$461
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.prefetch_arbitration_haltItself
    connect \B \murax.system_cpu.prefetch_arbitration_isStuckByOthers
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2531$461_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2534"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2534$469
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A 1'0
    connect \B \murax.system_cpu.decode_arbitration_haltItself
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2534$469_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2534"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2534$470
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2534$469_Y
    connect \B \murax.system_cpu.execute_arbitration_haltItself
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2534$470_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2534"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2534$471
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2534$470_Y
    connect \B \murax.system_cpu.memory_arbitration_haltItself
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2534$471_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2534"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2534$472
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2534$471_Y
    connect \B \murax.system_cpu.writeBack_arbitration_haltItself
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2534$472_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2534"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2534$473
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.fetch_arbitration_haltByOther
    connect \B $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2534$472_Y
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2534$473_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2535"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2535$474
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.fetch_arbitration_haltItself
    connect \B \murax.system_cpu.fetch_arbitration_isStuckByOthers
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2535$474_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2538"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2538$482
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A 1'0
    connect \B \murax.system_cpu.execute_arbitration_haltItself
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2538$482_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2538"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2538$483
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2538$482_Y
    connect \B \murax.system_cpu.memory_arbitration_haltItself
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2538$483_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2538"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2538$484
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2538$483_Y
    connect \B \murax.system_cpu.writeBack_arbitration_haltItself
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2538$484_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2538"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2538$485
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.decode_arbitration_haltByOther
    connect \B $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2538$484_Y
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2538$485_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2539"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2539$486
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.decode_arbitration_haltItself
    connect \B \murax.system_cpu.decode_arbitration_isStuckByOthers
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2539$486_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2542"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2542$494
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A 1'0
    connect \B \murax.system_cpu.memory_arbitration_haltItself
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2542$494_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2542"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2542$495
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2542$494_Y
    connect \B \murax.system_cpu.writeBack_arbitration_haltItself
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2542$495_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2542"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2542$496
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_arbitration_haltByOther
    connect \B $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2542$495_Y
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2542$496_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2543"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2543$497
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_arbitration_haltItself
    connect \B \murax.system_cpu.execute_arbitration_isStuckByOthers
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2543$497_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2546"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2546$505
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A 1'0
    connect \B \murax.system_cpu.writeBack_arbitration_haltItself
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2546$505_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2546"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2546$506
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.memory_arbitration_haltByOther
    connect \B $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2546$505_Y
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2546$506_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2547"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2547$507
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.memory_arbitration_haltItself
    connect \B \murax.system_cpu.memory_arbitration_isStuckByOthers
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2547$507_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2550"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2550$515
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.writeBack_arbitration_haltByOther
    connect \B 1'0
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2550$515_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2551"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2551$516
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.writeBack_arbitration_haltItself
    connect \B \murax.system_cpu.writeBack_arbitration_isStuckByOthers
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2551$516_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2645"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2645$531
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2645$530_Y
    connect \B \murax.system_cpu.fetch_arbitration_removeIt
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2645$531_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2651"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2651$536
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2651$535_Y
    connect \B \murax.system_cpu.decode_arbitration_removeIt
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2651$536_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2657"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2657$541
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2657$540_Y
    connect \B \murax.system_cpu.execute_arbitration_removeIt
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2657$541_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2663"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2663$546
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2663$545_Y
    connect \B \murax.system_cpu.memory_arbitration_removeIt
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2663$546_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2669"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2669$551
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2669$550_Y
    connect \B \murax.system_cpu.writeBack_arbitration_removeIt
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2669$551_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2879"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2879$618
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.fetch_arbitration_isValid
    connect \B \murax.system_cpu.decode_arbitration_isValid
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2879$618_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2879"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2879$619
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2879$618_Y
    connect \B \murax.system_cpu.execute_arbitration_isValid
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2879$619_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2879"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2879$620
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2879$619_Y
    connect \B \murax.system_cpu.memory_arbitration_isValid
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2879$620_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2879"
  cell $logic_or $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2879$621
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2879$620_Y
    connect \B \murax.system_cpu.writeBack_arbitration_isValid
    connect \Y $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2879$621_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2127"
  cell $lt $techmap\murax.system_cpu.$lt$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2127$349
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu._zz_76
    connect \B \murax.system_cpu.execute_CsrPlugin_csrAddress [9:8]
    connect \Y $techmap\murax.system_cpu.$lt$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2127$349_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1612"
  cell $memrd $techmap\murax.system_cpu.$memrd$\RegFilePlugin_regFile$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1612$237
    parameter \ABITS 5
    parameter \CLK_ENABLE 0
    parameter \CLK_POLARITY 0
    parameter \MEMID "\\murax.system_cpu.RegFilePlugin_regFile"
    parameter \TRANSPARENT 0
    parameter \WIDTH 32
    connect \ADDR \murax.system_cpu.decode_RegFilePlugin_regFileReadAddress1
    connect \CLK 1'x
    connect \DATA $techmap\murax.system_cpu.$memrd$\RegFilePlugin_regFile$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1612$237_DATA
    connect \EN 1'x
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1618"
  cell $memrd $techmap\murax.system_cpu.$memrd$\RegFilePlugin_regFile$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1618$239
    parameter \ABITS 5
    parameter \CLK_ENABLE 0
    parameter \CLK_POLARITY 0
    parameter \MEMID "\\murax.system_cpu.RegFilePlugin_regFile"
    parameter \TRANSPARENT 0
    parameter \WIDTH 32
    connect \ADDR \murax.system_cpu.decode_RegFilePlugin_regFileReadAddress2
    connect \CLK 1'x
    connect \DATA $techmap\murax.system_cpu.$memrd$\RegFilePlugin_regFile$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1618$239_DATA
    connect \EN 1'x
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1606"
  cell $memwr $techmap\murax.system_cpu.$memwr$\RegFilePlugin_regFile$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1606$626
    parameter \ABITS 5
    parameter \CLK_ENABLE 0
    parameter \CLK_POLARITY 0
    parameter \MEMID "\\murax.system_cpu.RegFilePlugin_regFile"
    parameter \PRIORITY 626
    parameter \WIDTH 32
    connect \ADDR $techmap\murax.system_cpu.$memwr$\RegFilePlugin_regFile$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1606$132_ADDR
    connect \CLK 1'x
    connect \DATA $techmap\murax.system_cpu.$memwr$\RegFilePlugin_regFile$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1606$132_DATA
    connect \EN $techmap\murax.system_cpu.$memwr$\RegFilePlugin_regFile$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1606$132_EN
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1471"
  cell $ne $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1471$135
    parameter \A_SIGNED 0
    parameter \A_WIDTH 5
    parameter \B_SIGNED 0
    parameter \B_WIDTH 5
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_SRC2 [4:0]
    connect \B 5'00000
    connect \Y $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1471$135_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1524"
  cell $ne $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1524$161
    parameter \A_SIGNED 0
    parameter \A_WIDTH 5
    parameter \B_SIGNED 0
    parameter \B_WIDTH 5
    parameter \Y_WIDTH 1
    connect \A { \murax.system_cpu._zz_97 \murax.system_cpu._zz_196 \murax.system_cpu._zz_197 }
    connect \B 5'00000
    connect \Y $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1524$161_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1525"
  cell $ne $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1525$162
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu._zz_200
    connect \B \murax.system_cpu._zz_201
    connect \Y $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1525$162_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1525"
  cell $ne $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1525$163
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 1
    connect \A { \murax.system_cpu._zz_198 \murax.system_cpu._zz_199 }
    connect \B 3'000
    connect \Y $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1525$163_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1532"
  cell $ne $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1532$166
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 1
    connect \A { \murax.system_cpu._zz_211 \murax.system_cpu._zz_212 \murax.system_cpu._zz_213 }
    connect \B 3'000
    connect \Y $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1532$166_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1533"
  cell $ne $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1533$167
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 1
    connect \A { \murax.system_cpu._zz_214 \murax.system_cpu._zz_215 }
    connect \B 3'000
    connect \Y $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1533$167_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1534"
  cell $ne $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1534$168
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu._zz_216
    connect \B \murax.system_cpu._zz_217
    connect \Y $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1534$168_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1548"
  cell $ne $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1548$180
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu._zz_232
    connect \B 1'0
    connect \Y $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1548$180_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1549"
  cell $ne $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1549$181
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu._zz_233
    connect \B \murax.system_cpu._zz_234
    connect \Y $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1549$181_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1565"
  cell $ne $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1565$192
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1565$191_Y
    connect \B 1'0
    connect \Y $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1565$192_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1566"
  cell $ne $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1566$193
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 1
    connect \A { \murax.system_cpu._zz_240 \murax.system_cpu._zz_241 }
    connect \B 2'00
    connect \Y $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1566$193_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1567"
  cell $ne $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1567$194
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu._zz_242
    connect \B \murax.system_cpu._zz_243
    connect \Y $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1567$194_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1574"
  cell $ne $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1574$202
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu._zz_93
    connect \B 1'0
    connect \Y $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1574$202_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1575"
  cell $ne $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1575$203
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu._zz_253
    connect \B 1'0
    connect \Y $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1575$203_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1576"
  cell $ne $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1576$204
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu._zz_254
    connect \B \murax.system_cpu._zz_255
    connect \Y $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1576$204_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1586"
  cell $ne $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1586$211
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1586$210_Y
    connect \B 1'0
    connect \Y $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1586$211_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1587"
  cell $ne $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1587$213
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1587$212_Y
    connect \B 1'0
    connect \Y $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1587$213_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1588"
  cell $ne $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1588$214
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu._zz_266
    connect \B \murax.system_cpu._zz_267
    connect \Y $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1588$214_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1588"
  cell $ne $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1588$215
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu._zz_264
    connect \B \murax.system_cpu._zz_265
    connect \Y $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1588$215_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1588"
  cell $ne $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1588$216
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 1
    connect \A { \murax.system_cpu._zz_262 \murax.system_cpu._zz_263 }
    connect \B 2'00
    connect \Y $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1588$216_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2094"
  cell $ne $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2094$346
    parameter \A_SIGNED 0
    parameter \A_WIDTH 7
    parameter \B_SIGNED 0
    parameter \B_WIDTH 7
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.decode_INSTRUCTION [13:7]
    connect \B 7'0100000
    connect \Y $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2094$346_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2155"
  cell $ne $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2155$375
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu._zz_191
    connect \B \murax.system_cpu._zz_192
    connect \Y $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2155$375_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2155"
  cell $ne $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2155$376
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu._zz_94
    connect \B 1'0
    connect \Y $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2155$376_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2155"
  cell $ne $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2155$377
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 1
    connect \A { \murax.system_cpu._zz_97 \murax.system_cpu._zz_189 \murax.system_cpu._zz_190 }
    connect \B 3'000
    connect \Y $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2155$377_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2155"
  cell $ne $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2155$379
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 1
    connect \A { $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2155$378_Y \murax.system_cpu._zz_97 }
    connect \B 2'00
    connect \Y $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2155$379_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2315"
  cell $ne $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2315$394
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_SHIFT_CTRL
    connect \B 2'00
    connect \Y $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2315$394_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2928"
  cell $ne $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2928$624
    parameter \A_SIGNED 0
    parameter \A_WIDTH 6
    parameter \B_SIGNED 0
    parameter \B_WIDTH 6
    parameter \Y_WIDTH 1
    connect \A { \murax.system_cpu.writeBack_arbitration_redoIt \murax.system_cpu.memory_arbitration_redoIt \murax.system_cpu.execute_arbitration_redoIt \murax.system_cpu.decode_arbitration_redoIt \murax.system_cpu.fetch_arbitration_redoIt \murax.system_cpu.prefetch_arbitration_redoIt }
    connect \B 6'000000
    connect \Y $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2928$624_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1478"
  cell $not $techmap\murax.system_cpu.$not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1478$141
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \Y_WIDTH 2
    connect \A \murax.system_cpu._zz_149
    connect \Y $techmap\murax.system_cpu.$not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1478$141_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1500"
  cell $not $techmap\murax.system_cpu.$not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1500$148
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \Y_WIDTH 32
    connect \A \murax.system_cpu.execute_SRC2
    connect \Y $techmap\murax.system_cpu.$not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1500$148_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2139"
  cell $not $techmap\murax.system_cpu.$not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2139$352
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \Y_WIDTH 32
    connect \A \murax.system_cpu.execute_CsrPlugin_writeSrc
    connect \Y $techmap\murax.system_cpu.$not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2139$352_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2139"
  cell $or $techmap\murax.system_cpu.$or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2139$354
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 32
    connect \A \murax.system_cpu.memory_REGFILE_WRITE_DATA
    connect \B \murax.system_cpu.execute_CsrPlugin_writeSrc
    connect \Y $techmap\murax.system_cpu.$or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2139$354_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2203"
  cell $or $techmap\murax.system_cpu.$or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2203$384
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 32
    connect \A \murax.system_cpu.execute_SRC1
    connect \B \murax.system_cpu.execute_SRC2
    connect \Y $techmap\murax.system_cpu.$or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2203$384_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1604"
  cell $dff $techmap\murax.system_cpu.$procdff$2526
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 5
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$1678_Y
    connect \Q $techmap\murax.system_cpu.$memwr$\RegFilePlugin_regFile$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1606$132_ADDR
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1604"
  cell $dff $techmap\murax.system_cpu.$procdff$2527
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 32
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$1676_Y
    connect \Q $techmap\murax.system_cpu.$memwr$\RegFilePlugin_regFile$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1606$132_DATA
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1604"
  cell $dff $techmap\murax.system_cpu.$procdff$2528
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 32
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$1674_Y
    connect \Q $techmap\murax.system_cpu.$memwr$\RegFilePlugin_regFile$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1606$132_EN
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1610"
  cell $dff $techmap\murax.system_cpu.$procdff$2529
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 32
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$1680_Y
    connect \Q \murax.system_cpu._zz_133
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1616"
  cell $dff $techmap\murax.system_cpu.$procdff$2530
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 32
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$1682_Y
    connect \Q \murax.system_cpu._zz_134
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2554"
  cell $adff $techmap\murax.system_cpu.$procdff$2531
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 1'0
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \ARST \murax.system_cpu.resetCtrl_systemReset
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D 1'1
    connect \Q \murax.system_cpu.prefetch_arbitration_isValid
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2554"
  cell $adff $techmap\murax.system_cpu.$procdff$2532
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 1'0
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \ARST \murax.system_cpu.resetCtrl_systemReset
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2246_Y
    connect \Q \murax.system_cpu.fetch_arbitration_isValid
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2554"
  cell $adff $techmap\murax.system_cpu.$procdff$2533
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 1'0
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \ARST \murax.system_cpu.resetCtrl_systemReset
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2242_Y
    connect \Q \murax.system_cpu.decode_arbitration_isValid
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2554"
  cell $adff $techmap\murax.system_cpu.$procdff$2534
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 1'0
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \ARST \murax.system_cpu.resetCtrl_systemReset
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2229_Y
    connect \Q \murax.system_cpu.execute_arbitration_isValid
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2554"
  cell $adff $techmap\murax.system_cpu.$procdff$2535
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 1'0
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \ARST \murax.system_cpu.resetCtrl_systemReset
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2225_Y
    connect \Q \murax.system_cpu.memory_arbitration_isValid
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2554"
  cell $adff $techmap\murax.system_cpu.$procdff$2536
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 1'0
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \ARST \murax.system_cpu.resetCtrl_systemReset
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2221_Y
    connect \Q \murax.system_cpu.writeBack_arbitration_isValid
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2554"
  cell $adff $techmap\murax.system_cpu.$procdff$2537
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 2'11
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 2
    connect \ARST \murax.system_cpu.resetCtrl_systemReset
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2217_Y
    connect \Q \murax.system_cpu._zz_76
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2554"
  cell $adff $techmap\murax.system_cpu.$procdff$2538
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 32'10000000000000000000000000000000
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 32
    connect \ARST \murax.system_cpu.resetCtrl_systemReset
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2213_Y
    connect \Q \murax.system_cpu.prefetch_PcManagerSimplePlugin_pcReg
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2554"
  cell $adff $techmap\murax.system_cpu.$procdff$2539
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 1'0
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \ARST \murax.system_cpu.resetCtrl_systemReset
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2209_Y
    connect \Q \murax.system_cpu.prefetch_IBusSimplePlugin_pendingCmd
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2554"
  cell $adff $techmap\murax.system_cpu.$procdff$2540
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 1'0
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \ARST \murax.system_cpu.resetCtrl_systemReset
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2205_Y
    connect \Q \murax.system_cpu._zz_81
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2554"
  cell $adff $techmap\murax.system_cpu.$procdff$2541
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 1'0
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \ARST \murax.system_cpu.resetCtrl_systemReset
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2201_Y
    connect \Q \murax.system_cpu.CsrPlugin_mstatus_MIE
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2554"
  cell $adff $techmap\murax.system_cpu.$procdff$2542
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 1'0
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \ARST \murax.system_cpu.resetCtrl_systemReset
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2189_Y
    connect \Q \murax.system_cpu.CsrPlugin_mstatus_MPIE
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2554"
  cell $adff $techmap\murax.system_cpu.$procdff$2543
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 2'11
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 2
    connect \ARST \murax.system_cpu.resetCtrl_systemReset
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2181_Y
    connect \Q \murax.system_cpu.CsrPlugin_mstatus_MPP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2554"
  cell $adff $techmap\murax.system_cpu.$procdff$2544
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 1'0
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \ARST \murax.system_cpu.resetCtrl_systemReset
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D \murax.system_cpu.externalInterrupt
    connect \Q \murax.system_cpu.CsrPlugin_mip_MEIP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2554"
  cell $adff $techmap\murax.system_cpu.$procdff$2545
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 1'0
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \ARST \murax.system_cpu.resetCtrl_systemReset
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D \murax.system_cpu.timerInterrupt
    connect \Q \murax.system_cpu.CsrPlugin_mip_MTIP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2554"
  cell $adff $techmap\murax.system_cpu.$procdff$2546
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 1'0
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \ARST \murax.system_cpu.resetCtrl_systemReset
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2173_Y
    connect \Q \murax.system_cpu.CsrPlugin_mip_MSIP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2554"
  cell $adff $techmap\murax.system_cpu.$procdff$2547
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 1'0
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \ARST \murax.system_cpu.resetCtrl_systemReset
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2168_Y
    connect \Q \murax.system_cpu.CsrPlugin_mie_MEIE
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2554"
  cell $adff $techmap\murax.system_cpu.$procdff$2548
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 1'0
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \ARST \murax.system_cpu.resetCtrl_systemReset
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2164_Y
    connect \Q \murax.system_cpu.CsrPlugin_mie_MTIE
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2554"
  cell $adff $techmap\murax.system_cpu.$procdff$2549
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 1'0
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \ARST \murax.system_cpu.resetCtrl_systemReset
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2160_Y
    connect \Q \murax.system_cpu.CsrPlugin_mie_MSIE
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2554"
  cell $adff $techmap\murax.system_cpu.$procdff$2550
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 1'1
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \ARST \murax.system_cpu.resetCtrl_systemReset
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D 1'0
    connect \Q \murax.system_cpu._zz_107
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2554"
  cell $adff $techmap\murax.system_cpu.$procdff$2551
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 1'0
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \ARST \murax.system_cpu.resetCtrl_systemReset
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2156_Y
    connect \Q \murax.system_cpu.execute_LightShifterPlugin_isActive
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2554"
  cell $adff $techmap\murax.system_cpu.$procdff$2552
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 1'0
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \ARST \murax.system_cpu.resetCtrl_systemReset
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2625$527_Y
    connect \Q \murax.system_cpu._zz_118
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2554"
  cell $adff $techmap\murax.system_cpu.$procdff$2553
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 0
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 32
    connect \ARST \murax.system_cpu.resetCtrl_systemReset
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2148_Y
    connect \Q \murax.system_cpu.memory_to_writeBack_REGFILE_WRITE_DATA
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2554"
  cell $adff $techmap\murax.system_cpu.$procdff$2554
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 0
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 32
    connect \ARST \murax.system_cpu.resetCtrl_systemReset
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2146_Y
    connect \Q \murax.system_cpu.memory_to_writeBack_INSTRUCTION
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  cell $dff $techmap\murax.system_cpu.$procdff$2555
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 32
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2356_Y
    connect \Q \murax.system_cpu._zz_82
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  cell $dff $techmap\murax.system_cpu.$procdff$2556
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 32
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2354_Y
    connect \Q \murax.system_cpu.CsrPlugin_mepc
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  cell $dff $techmap\murax.system_cpu.$procdff$2557
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2352_Y
    connect \Q \murax.system_cpu.CsrPlugin_mcause_interrupt
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  cell $dff $techmap\murax.system_cpu.$procdff$2558
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 4
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2350_Y
    connect \Q \murax.system_cpu.CsrPlugin_mcause_exceptionCode
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  cell $dff $techmap\murax.system_cpu.$procdff$2559
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 32
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2346_Y
    connect \Q \murax.system_cpu.CsrPlugin_mbadaddr
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  cell $dff $techmap\murax.system_cpu.$procdff$2560
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 64
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2713$568_Y
    connect \Q \murax.system_cpu.CsrPlugin_mcycle
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  cell $dff $techmap\murax.system_cpu.$procdff$2561
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 64
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2344_Y
    connect \Q \murax.system_cpu.CsrPlugin_minstret
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  cell $dff $techmap\murax.system_cpu.$procdff$2562
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D 1'0
    connect \Q \murax.system_cpu._zz_90
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  cell $dff $techmap\murax.system_cpu.$procdff$2563
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2342_Y
    connect \Q \murax.system_cpu.execute_CsrPlugin_readDataRegValid
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  cell $dff $techmap\murax.system_cpu.$procdff$2564
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 5
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2338_Y
    connect \Q \murax.system_cpu.execute_LightShifterPlugin_amplitudeReg
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  cell $dff $techmap\murax.system_cpu.$procdff$2565
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 5
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D \murax.system_cpu.memory_to_writeBack_INSTRUCTION [11:7]
    connect \Q \murax.system_cpu._zz_119
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  cell $dff $techmap\murax.system_cpu.$procdff$2566
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2334_Y
    connect \Q \murax.system_cpu.decode_to_execute_IS_EBREAK
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  cell $dff $techmap\murax.system_cpu.$procdff$2567
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 32
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2332_Y
    connect \Q \murax.system_cpu.prefetch_to_fetch_PC
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  cell $dff $techmap\murax.system_cpu.$procdff$2568
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 32
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2330_Y
    connect \Q \murax.system_cpu.fetch_to_decode_PC
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  cell $dff $techmap\murax.system_cpu.$procdff$2569
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 32
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2328_Y
    connect \Q \murax.system_cpu.decode_to_execute_PC
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  cell $dff $techmap\murax.system_cpu.$procdff$2570
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 32
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2326_Y
    connect \Q \murax.system_cpu.execute_to_memory_PC
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  cell $dff $techmap\murax.system_cpu.$procdff$2571
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 32
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2324_Y
    connect \Q \murax.system_cpu.memory_to_writeBack_PC
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  cell $dff $techmap\murax.system_cpu.$procdff$2572
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2322_Y
    connect \Q \murax.system_cpu.decode_to_execute_CSR_WRITE_OPCODE
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  cell $dff $techmap\murax.system_cpu.$procdff$2573
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2320_Y
    connect \Q \murax.system_cpu.decode_to_execute_BYPASSABLE_EXECUTE_STAGE
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  cell $dff $techmap\murax.system_cpu.$procdff$2574
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2318_Y
    connect \Q \murax.system_cpu.decode_to_execute_SRC_USE_SUB_LESS
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  cell $dff $techmap\murax.system_cpu.$procdff$2575
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2316_Y
    connect \Q \murax.system_cpu.decode_to_execute_BYPASSABLE_MEMORY_STAGE
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  cell $dff $techmap\murax.system_cpu.$procdff$2576
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2314_Y
    connect \Q \murax.system_cpu.execute_to_memory_BYPASSABLE_MEMORY_STAGE
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  cell $dff $techmap\murax.system_cpu.$procdff$2577
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 32
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2312_Y
    connect \Q \murax.system_cpu.execute_to_memory_REGFILE_WRITE_DATA
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  cell $dff $techmap\murax.system_cpu.$procdff$2578
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2310_Y
    connect \Q \murax.system_cpu.decode_to_execute_CSR_READ_OPCODE
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  cell $dff $techmap\murax.system_cpu.$procdff$2579
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 2
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2308_Y
    connect \Q \murax.system_cpu.decode_to_execute_ALU_BITWISE_CTRL
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  cell $dff $techmap\murax.system_cpu.$procdff$2580
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 32
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2306_Y
    connect \Q \murax.system_cpu.decode_to_execute_RS2
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  cell $dff $techmap\murax.system_cpu.$procdff$2581
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 32
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2304_Y
    connect \Q \murax.system_cpu.decode_to_execute_SRC2
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  cell $dff $techmap\murax.system_cpu.$procdff$2582
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2302_Y
    connect \Q \murax.system_cpu.decode_to_execute_MEMORY_ENABLE
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  cell $dff $techmap\murax.system_cpu.$procdff$2583
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2300_Y
    connect \Q \murax.system_cpu.execute_to_memory_MEMORY_ENABLE
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  cell $dff $techmap\murax.system_cpu.$procdff$2584
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2298_Y
    connect \Q \murax.system_cpu.memory_to_writeBack_MEMORY_ENABLE
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  cell $dff $techmap\murax.system_cpu.$procdff$2585
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 2
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2296_Y
    connect \Q \murax.system_cpu.decode_to_execute_ENV_CTRL
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  cell $dff $techmap\murax.system_cpu.$procdff$2586
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 2
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2294_Y
    connect \Q \murax.system_cpu.execute_to_memory_ENV_CTRL
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  cell $dff $techmap\murax.system_cpu.$procdff$2587
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 32
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2292_Y
    connect \Q \murax.system_cpu.decode_to_execute_SRC1
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  cell $dff $techmap\murax.system_cpu.$procdff$2588
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 32
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2290_Y
    connect \Q \murax.system_cpu.execute_to_memory_BRANCH_CALC
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  cell $dff $techmap\murax.system_cpu.$procdff$2589
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2288_Y
    connect \Q \murax.system_cpu.decode_to_execute_SRC_LESS_UNSIGNED
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  cell $dff $techmap\murax.system_cpu.$procdff$2590
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 2
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2286_Y
    connect \Q \murax.system_cpu.decode_to_execute_ALU_CTRL
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  cell $dff $techmap\murax.system_cpu.$procdff$2591
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2284_Y
    connect \Q \murax.system_cpu.execute_to_memory_BRANCH_DO
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  cell $dff $techmap\murax.system_cpu.$procdff$2592
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 32
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2282_Y
    connect \Q \murax.system_cpu.decode_to_execute_RS1
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  cell $dff $techmap\murax.system_cpu.$procdff$2593
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2280_Y
    connect \Q \murax.system_cpu.decode_to_execute_IS_CSR
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  cell $dff $techmap\murax.system_cpu.$procdff$2594
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 32
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2278_Y
    connect \Q \murax.system_cpu.memory_to_writeBack_MEMORY_READ_DATA
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  cell $dff $techmap\murax.system_cpu.$procdff$2595
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 2
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2276_Y
    connect \Q \murax.system_cpu.decode_to_execute_BRANCH_CTRL
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  cell $dff $techmap\murax.system_cpu.$procdff$2596
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2274_Y
    connect \Q \murax.system_cpu.decode_to_execute_REGFILE_WRITE_VALID
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  cell $dff $techmap\murax.system_cpu.$procdff$2597
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2272_Y
    connect \Q \murax.system_cpu.execute_to_memory_REGFILE_WRITE_VALID
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  cell $dff $techmap\murax.system_cpu.$procdff$2598
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2270_Y
    connect \Q \murax.system_cpu.memory_to_writeBack_REGFILE_WRITE_VALID
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  cell $dff $techmap\murax.system_cpu.$procdff$2599
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 2
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2268_Y
    connect \Q \murax.system_cpu.decode_to_execute_SHIFT_CTRL
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  cell $dff $techmap\murax.system_cpu.$procdff$2600
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 32
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2266_Y
    connect \Q \murax.system_cpu.fetch_to_decode_INSTRUCTION
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  cell $dff $techmap\murax.system_cpu.$procdff$2601
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 32
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2262_Y
    connect \Q \murax.system_cpu.decode_to_execute_INSTRUCTION
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  cell $dff $techmap\murax.system_cpu.$procdff$2602
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 32
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2260_Y
    connect \Q \murax.system_cpu.execute_to_memory_INSTRUCTION
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  cell $dff $techmap\murax.system_cpu.$procdff$2603
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 32
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2258_Y
    connect \Q \murax.system_cpu.prefetch_to_fetch_FORMAL_PC_NEXT
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  cell $dff $techmap\murax.system_cpu.$procdff$2604
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 32
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2256_Y
    connect \Q \murax.system_cpu.fetch_to_decode_FORMAL_PC_NEXT
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  cell $dff $techmap\murax.system_cpu.$procdff$2605
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 32
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2254_Y
    connect \Q \murax.system_cpu.decode_to_execute_FORMAL_PC_NEXT
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  cell $dff $techmap\murax.system_cpu.$procdff$2606
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 32
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2252_Y
    connect \Q \murax.system_cpu.execute_to_memory_FORMAL_PC_NEXT
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  cell $dff $techmap\murax.system_cpu.$procdff$2607
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 2
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2250_Y
    connect \Q \murax.system_cpu.execute_to_memory_MEMORY_ADDRESS_LOW
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2703"
  cell $dff $techmap\murax.system_cpu.$procdff$2608
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 2
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2248_Y
    connect \Q \murax.system_cpu.memory_to_writeBack_MEMORY_ADDRESS_LOW
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2873"
  cell $dff $techmap\murax.system_cpu.$procdff$2609
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2358_Y
    connect \Q \murax.system_cpu.DebugPlugin_firstCycle
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2873"
  cell $dff $techmap\murax.system_cpu.$procdff$2610
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D \murax.system_cpu.DebugPlugin_firstCycle
    connect \Q \murax.system_cpu.DebugPlugin_secondCycle
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2873"
  cell $dff $techmap\murax.system_cpu.$procdff$2611
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2879$621_Y
    connect \Q \murax.system_cpu.DebugPlugin_isPipActive
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2873"
  cell $dff $techmap\murax.system_cpu.$procdff$2612
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D \murax.system_cpu.DebugPlugin_isPipActive
    connect \Q \murax.system_cpu._zz_130
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2873"
  cell $dff $techmap\murax.system_cpu.$procdff$2613
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 32
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2360_Y
    connect \Q \murax.system_cpu.DebugPlugin_busReadDataReg
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2873"
  cell $dff $techmap\murax.system_cpu.$procdff$2614
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D \murax.system_cpu.debug_bus_cmd_payload_address [2]
    connect \Q \murax.system_cpu._zz_131
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2873"
  cell $dff $techmap\murax.system_cpu.$procdff$2615
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D \murax.system_cpu.DebugPlugin_resetIt
    connect \Q \murax.system_cpu._zz_132
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2888"
  cell $adff $techmap\murax.system_cpu.$procdff$2616
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 1'0
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \ARST \murax.system_cpu.resetCtrl_mainClkReset
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2402_Y
    connect \Q \murax.system_cpu.DebugPlugin_resetIt
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2888"
  cell $adff $techmap\murax.system_cpu.$procdff$2617
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 1'0
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \ARST \murax.system_cpu.resetCtrl_mainClkReset
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2392_Y
    connect \Q \murax.system_cpu.DebugPlugin_haltIt
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2888"
  cell $adff $techmap\murax.system_cpu.$procdff$2618
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 1'0
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \ARST \murax.system_cpu.resetCtrl_mainClkReset
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2376_Y
    connect \Q \murax.system_cpu.DebugPlugin_stepIt
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2888"
  cell $adff $techmap\murax.system_cpu.$procdff$2619
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 1'0
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \ARST \murax.system_cpu.resetCtrl_mainClkReset
    connect \CLK \murax.system_cpu.io_mainClk
    connect \D $techmap\murax.system_cpu.$procmux$2370_Y
    connect \Q \murax.system_cpu.DebugPlugin_haltedByBreak
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1605"
  cell $mux $techmap\murax.system_cpu.$procmux$1674
    parameter \WIDTH 32
    connect \A 0
    connect \B 32'11111111111111111111111111111111
    connect \S $techmap\murax.system_cpu.$procmux$1675_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1674_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1605"
  cell $mux $techmap\murax.system_cpu.$procmux$1676
    parameter \WIDTH 32
    connect \A 32'xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
    connect \B \murax.system_cpu.writeBack_RegFilePlugin_regFileWrite_payload_data
    connect \S $techmap\murax.system_cpu.$procmux$1677_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1676_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1605"
  cell $mux $techmap\murax.system_cpu.$procmux$1678
    parameter \WIDTH 5
    connect \A 5'xxxxx
    connect \B \murax.system_cpu.writeBack_RegFilePlugin_regFileWrite_payload_address
    connect \S $techmap\murax.system_cpu.$procmux$1679_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1678_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1611"
  cell $mux $techmap\murax.system_cpu.$procmux$1680
    parameter \WIDTH 32
    connect \A \murax.system_cpu._zz_133
    connect \B $techmap\murax.system_cpu.$memrd$\RegFilePlugin_regFile$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1612$237_DATA
    connect \S $techmap\murax.system_cpu.$procmux$1681_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1680_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1617"
  cell $mux $techmap\murax.system_cpu.$procmux$1682
    parameter \WIDTH 32
    connect \A \murax.system_cpu._zz_134
    connect \B $techmap\murax.system_cpu.$memrd$\RegFilePlugin_regFile$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1618$239_DATA
    connect \S $techmap\murax.system_cpu.$procmux$1683_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1682_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1623"
  cell $mux $techmap\murax.system_cpu.$procmux$1685
    parameter \WIDTH 32
    connect \A \murax.system_cpu._zz_75
    connect \B \murax.system_cpu.memory_BRANCH_CALC
    connect \S $techmap\murax.system_cpu.$procmux$1686_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1685_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1623"
  cell $eq $techmap\murax.system_cpu.$procmux$1686_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu._zz_186
    connect \B 1'0
    connect \Y $techmap\murax.system_cpu.$procmux$1686_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1703"
  cell $mux $techmap\murax.system_cpu.$procmux$1688
    parameter \WIDTH 1
    connect \A 1'0
    connect \B 1'1
    connect \S $techmap\murax.system_cpu.$procmux$1689_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1688_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1711"
  cell $mux $techmap\murax.system_cpu.$procmux$1691
    parameter \WIDTH 1
    connect \A \murax.system_cpu._zz_44
    connect \B 1'0
    connect \S $techmap\murax.system_cpu.$procmux$1692_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1691_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1731"
  cell $mux $techmap\murax.system_cpu.$procmux$1696
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$2\execute_arbitration_haltItself[0:0]
    connect \B 1'1
    connect \S $techmap\murax.system_cpu.$procmux$1697_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1696_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1730"
  cell $mux $techmap\murax.system_cpu.$procmux$1698
    parameter \WIDTH 1
    connect \A 1'x
    connect \B $techmap\murax.system_cpu.$procmux$1696_Y
    connect \S $techmap\murax.system_cpu.$procmux$1699_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1698_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1728"
  cell $mux $techmap\murax.system_cpu.$procmux$1700
    parameter \WIDTH 1
    connect \A 1'x
    connect \B $techmap\murax.system_cpu.$procmux$1698_Y
    connect \S $techmap\murax.system_cpu.$procmux$1701_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1700_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1730"
  cell $mux $techmap\murax.system_cpu.$procmux$1704
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$2\execute_arbitration_haltItself[0:0]
    connect \B $techmap\murax.system_cpu.$5\execute_arbitration_haltItself[0:0]
    connect \S $techmap\murax.system_cpu.$procmux$1705_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1704_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1728"
  cell $mux $techmap\murax.system_cpu.$procmux$1706
    parameter \WIDTH 1
    connect \A 1'x
    connect \B $techmap\murax.system_cpu.$procmux$1704_Y
    connect \S $techmap\murax.system_cpu.$procmux$1707_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1706_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1728"
  cell $mux $techmap\murax.system_cpu.$procmux$1709
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$2\execute_arbitration_haltItself[0:0]
    connect \B $techmap\murax.system_cpu.$4\execute_arbitration_haltItself[0:0]
    connect \S $techmap\murax.system_cpu.$procmux$1710_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1709_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1728"
  cell $mux $techmap\murax.system_cpu.$procmux$1712
    parameter \WIDTH 32
    connect \A $techmap\murax.system_cpu.$1\_zz_58[31:0]
    connect \B \murax.system_cpu._zz_115
    connect \S $techmap\murax.system_cpu.$procmux$1713_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1712_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1725"
  cell $mux $techmap\murax.system_cpu.$procmux$1715
    parameter \WIDTH 32
    connect \A \murax.system_cpu.execute_REGFILE_WRITE_DATA
    connect \B \murax.system_cpu.execute_CsrPlugin_readData
    connect \S $techmap\murax.system_cpu.$procmux$1716_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1715_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1722"
  cell $mux $techmap\murax.system_cpu.$procmux$1718
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$1\execute_arbitration_haltItself[0:0]
    connect \B 1'1
    connect \S $techmap\murax.system_cpu.$procmux$1719_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1718_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1719"
  cell $mux $techmap\murax.system_cpu.$procmux$1721
    parameter \WIDTH 1
    connect \A 1'0
    connect \B 1'1
    connect \S $techmap\murax.system_cpu.$procmux$1722_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1721_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1748"
  cell $mux $techmap\murax.system_cpu.$procmux$1724
    parameter \WIDTH 32
    connect \A \murax.system_cpu.writeBack_REGFILE_WRITE_DATA
    connect \B \murax.system_cpu.writeBack_DBusSimplePlugin_rspFormated
    connect \S $techmap\murax.system_cpu.$procmux$1725_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1724_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1767"
  cell $mux $techmap\murax.system_cpu.$procmux$1727
    parameter \WIDTH 32
    connect \A \murax.system_cpu.execute_FORMAL_PC_NEXT
    connect \B \murax.system_cpu._zz_75
    connect \S $techmap\murax.system_cpu.$procmux$1728_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1727_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1779"
  cell $mux $techmap\murax.system_cpu.$procmux$1730
    parameter \WIDTH 1
    connect \A 1'0
    connect \B 1'1
    connect \S $techmap\murax.system_cpu.$procmux$1731_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1730_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1794"
  cell $mux $techmap\murax.system_cpu.$procmux$1733
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$2\prefetch_arbitration_haltByOther[0:0]
    connect \B 1'1
    connect \S $techmap\murax.system_cpu.$procmux$1734_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1733_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1790"
  cell $mux $techmap\murax.system_cpu.$procmux$1736
    parameter \WIDTH 1
    connect \A 1'0
    connect \B 1'1
    connect \S $techmap\murax.system_cpu.$procmux$1737_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1736_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1790"
  cell $mux $techmap\murax.system_cpu.$procmux$1739
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$1\prefetch_arbitration_haltByOther[0:0]
    connect \B 1'1
    connect \S $techmap\murax.system_cpu.$procmux$1740_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1739_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1787"
  cell $mux $techmap\murax.system_cpu.$procmux$1742
    parameter \WIDTH 1
    connect \A 1'0
    connect \B 1'1
    connect \S $techmap\murax.system_cpu.$procmux$1743_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1742_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1801"
  cell $mux $techmap\murax.system_cpu.$procmux$1745
    parameter \WIDTH 1
    connect \A 1'0
    connect \B 1'1
    connect \S $techmap\murax.system_cpu.$procmux$1746_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1745_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1810"
  cell $mux $techmap\murax.system_cpu.$procmux$1748
    parameter \WIDTH 1
    connect \A 1'0
    connect \B 1'1
    connect \S $techmap\murax.system_cpu.$procmux$1749_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1748_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1818"
  cell $mux $techmap\murax.system_cpu.$procmux$1751
    parameter \WIDTH 1
    connect \A 1'0
    connect \B 1'1
    connect \S $techmap\murax.system_cpu.$procmux$1752_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1751_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1842"
  cell $mux $techmap\murax.system_cpu.$procmux$1756
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$2\decode_arbitration_haltItself[0:0]
    connect \B 1'1
    connect \S $techmap\murax.system_cpu.$procmux$1757_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1756_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1840"
  cell $mux $techmap\murax.system_cpu.$procmux$1758
    parameter \WIDTH 1
    connect \A 1'x
    connect \B $techmap\murax.system_cpu.$procmux$1756_Y
    connect \S $techmap\murax.system_cpu.$procmux$1759_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1758_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1836"
  cell $mux $techmap\murax.system_cpu.$procmux$1761
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$procmux$1758_Y
    connect \B 1'x
    connect \S $techmap\murax.system_cpu.$procmux$1762_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1761_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1836"
  cell $eq $techmap\murax.system_cpu.$procmux$1762_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu._zz_143
    connect \B 1'0
    connect \Y $techmap\murax.system_cpu.$procmux$1762_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1835"
  cell $mux $techmap\murax.system_cpu.$procmux$1763
    parameter \WIDTH 1
    connect \A 1'x
    connect \B $techmap\murax.system_cpu.$procmux$1761_Y
    connect \S $techmap\murax.system_cpu.$procmux$1764_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1763_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1840"
  cell $mux $techmap\murax.system_cpu.$procmux$1767
    parameter \WIDTH 1
    connect \A 1'1
    connect \B $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1845$278_Y
    connect \S $techmap\murax.system_cpu.$procmux$1768_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1767_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1836"
  cell $mux $techmap\murax.system_cpu.$procmux$1770
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$procmux$1767_Y
    connect \B 1'x
    connect \S $techmap\murax.system_cpu.$procmux$1771_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1770_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1836"
  cell $eq $techmap\murax.system_cpu.$procmux$1771_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu._zz_143
    connect \B 1'0
    connect \Y $techmap\murax.system_cpu.$procmux$1771_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1835"
  cell $mux $techmap\murax.system_cpu.$procmux$1772
    parameter \WIDTH 1
    connect \A 1'x
    connect \B $techmap\murax.system_cpu.$procmux$1770_Y
    connect \S $techmap\murax.system_cpu.$procmux$1773_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1772_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1840"
  cell $mux $techmap\murax.system_cpu.$procmux$1776
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$2\decode_arbitration_haltItself[0:0]
    connect \B $techmap\murax.system_cpu.$6\decode_arbitration_haltItself[0:0]
    connect \S $techmap\murax.system_cpu.$procmux$1777_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1776_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1836"
  cell $mux $techmap\murax.system_cpu.$procmux$1779
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$procmux$1776_Y
    connect \B 1'x
    connect \S $techmap\murax.system_cpu.$procmux$1780_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1779_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1836"
  cell $eq $techmap\murax.system_cpu.$procmux$1780_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu._zz_143
    connect \B 1'0
    connect \Y $techmap\murax.system_cpu.$procmux$1780_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1835"
  cell $mux $techmap\murax.system_cpu.$procmux$1781
    parameter \WIDTH 1
    connect \A 1'x
    connect \B $techmap\murax.system_cpu.$procmux$1779_Y
    connect \S $techmap\murax.system_cpu.$procmux$1782_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1781_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1840"
  cell $mux $techmap\murax.system_cpu.$procmux$1785
    parameter \WIDTH 1
    connect \A 1'0
    connect \B 1'1
    connect \S $techmap\murax.system_cpu.$procmux$1786_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1785_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1836"
  cell $mux $techmap\murax.system_cpu.$procmux$1788
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$procmux$1785_Y
    connect \B 1'x
    connect \S $techmap\murax.system_cpu.$procmux$1789_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1788_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1836"
  cell $eq $techmap\murax.system_cpu.$procmux$1789_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu._zz_143
    connect \B 1'0
    connect \Y $techmap\murax.system_cpu.$procmux$1789_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1835"
  cell $mux $techmap\murax.system_cpu.$procmux$1790
    parameter \WIDTH 1
    connect \A 1'x
    connect \B $techmap\murax.system_cpu.$procmux$1788_Y
    connect \S $techmap\murax.system_cpu.$procmux$1791_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1790_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1836"
  cell $mux $techmap\murax.system_cpu.$procmux$1794
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$3\DebugPlugin_insertDecodeInstruction[0:0]
    connect \B 1'0
    connect \S $techmap\murax.system_cpu.$procmux$1795_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1794_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1836"
  cell $eq $techmap\murax.system_cpu.$procmux$1795_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu._zz_143
    connect \B 1'0
    connect \Y $techmap\murax.system_cpu.$procmux$1795_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1835"
  cell $mux $techmap\murax.system_cpu.$procmux$1796
    parameter \WIDTH 1
    connect \A 1'x
    connect \B $techmap\murax.system_cpu.$procmux$1794_Y
    connect \S $techmap\murax.system_cpu.$procmux$1797_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1796_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1836"
  cell $mux $techmap\murax.system_cpu.$procmux$1800
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$5\decode_arbitration_haltItself[0:0]
    connect \B $techmap\murax.system_cpu.$2\decode_arbitration_haltItself[0:0]
    connect \S $techmap\murax.system_cpu.$procmux$1801_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1800_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1836"
  cell $eq $techmap\murax.system_cpu.$procmux$1801_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu._zz_143
    connect \B 1'0
    connect \Y $techmap\murax.system_cpu.$procmux$1801_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1835"
  cell $mux $techmap\murax.system_cpu.$procmux$1802
    parameter \WIDTH 1
    connect \A 1'x
    connect \B $techmap\murax.system_cpu.$procmux$1800_Y
    connect \S $techmap\murax.system_cpu.$procmux$1803_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1802_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1836"
  cell $mux $techmap\murax.system_cpu.$procmux$1806
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$3\_zz_138[0:0]
    connect \B 1'1
    connect \S $techmap\murax.system_cpu.$procmux$1807_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1806_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1836"
  cell $eq $techmap\murax.system_cpu.$procmux$1807_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu._zz_143
    connect \B 1'0
    connect \Y $techmap\murax.system_cpu.$procmux$1807_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1835"
  cell $mux $techmap\murax.system_cpu.$procmux$1808
    parameter \WIDTH 1
    connect \A 1'x
    connect \B $techmap\murax.system_cpu.$procmux$1806_Y
    connect \S $techmap\murax.system_cpu.$procmux$1809_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1808_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1835"
  cell $mux $techmap\murax.system_cpu.$procmux$1811
    parameter \WIDTH 1
    connect \A 1'0
    connect \B $techmap\murax.system_cpu.$2\DebugPlugin_insertDecodeInstruction[0:0]
    connect \S $techmap\murax.system_cpu.$procmux$1812_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1811_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1835"
  cell $mux $techmap\murax.system_cpu.$procmux$1814
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$2\decode_arbitration_haltItself[0:0]
    connect \B $techmap\murax.system_cpu.$4\decode_arbitration_haltItself[0:0]
    connect \S $techmap\murax.system_cpu.$procmux$1815_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1814_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1835"
  cell $mux $techmap\murax.system_cpu.$procmux$1817
    parameter \WIDTH 1
    connect \A 1'1
    connect \B $techmap\murax.system_cpu.$2\_zz_138[0:0]
    connect \S $techmap\murax.system_cpu.$procmux$1818_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1817_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1830"
  cell $mux $techmap\murax.system_cpu.$procmux$1820
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$1\decode_arbitration_haltItself[0:0]
    connect \B 1'1
    connect \S $techmap\murax.system_cpu.$procmux$1821_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1820_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1827"
  cell $mux $techmap\murax.system_cpu.$procmux$1823
    parameter \WIDTH 1
    connect \A 1'0
    connect \B 1'1
    connect \S $techmap\murax.system_cpu.$procmux$1824_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1823_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1855"
  cell $mux $techmap\murax.system_cpu.$procmux$1826
    parameter \WIDTH 1
    connect \A 1'0
    connect \B 1'1
    connect \S $techmap\murax.system_cpu.$procmux$1827_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1826_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1864"
  cell $mux $techmap\murax.system_cpu.$procmux$1829
    parameter \WIDTH 1
    connect \A 1'0
    connect \B 1'1
    connect \S $techmap\murax.system_cpu.$procmux$1830_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1829_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1876"
  cell $mux $techmap\murax.system_cpu.$procmux$1832
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$1\execute_arbitration_flushAll[0:0]
    connect \B 1'1
    connect \S $techmap\murax.system_cpu.$procmux$1833_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1832_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1872"
  cell $mux $techmap\murax.system_cpu.$procmux$1836
    parameter \WIDTH 1
    connect \A 1'0
    connect \B 1'1
    connect \S $techmap\murax.system_cpu.$procmux$1837_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1836_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1871"
  cell $mux $techmap\murax.system_cpu.$procmux$1838
    parameter \WIDTH 1
    connect \A 1'x
    connect \B $techmap\murax.system_cpu.$procmux$1836_Y
    connect \S $techmap\murax.system_cpu.$procmux$1839_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1838_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1871"
  cell $mux $techmap\murax.system_cpu.$procmux$1841
    parameter \WIDTH 1
    connect \A 1'0
    connect \B $techmap\murax.system_cpu.$2\execute_arbitration_flushAll[0:0]
    connect \S $techmap\murax.system_cpu.$procmux$1842_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1841_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1895"
  cell $mux $techmap\murax.system_cpu.$procmux$1845
    parameter \WIDTH 32
    connect \A $techmap\murax.system_cpu.$1\_zz_75[31:0]
    connect \B \murax.system_cpu.CsrPlugin_mepc
    connect \S $techmap\murax.system_cpu.$procmux$1846_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1845_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1893"
  cell $mux $techmap\murax.system_cpu.$procmux$1847
    parameter \WIDTH 32
    connect \A 32'xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
    connect \B $techmap\murax.system_cpu.$procmux$1845_Y
    connect \S $techmap\murax.system_cpu.$procmux$1848_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1847_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1895"
  cell $mux $techmap\murax.system_cpu.$procmux$1851
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$1\_zz_74[0:0]
    connect \B 1'1
    connect \S $techmap\murax.system_cpu.$procmux$1852_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1851_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1893"
  cell $mux $techmap\murax.system_cpu.$procmux$1853
    parameter \WIDTH 1
    connect \A 1'x
    connect \B $techmap\murax.system_cpu.$procmux$1851_Y
    connect \S $techmap\murax.system_cpu.$procmux$1854_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1853_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1893"
  cell $mux $techmap\murax.system_cpu.$procmux$1856
    parameter \WIDTH 32
    connect \A $techmap\murax.system_cpu.$1\_zz_75[31:0]
    connect \B $techmap\murax.system_cpu.$3\_zz_75[31:0]
    connect \S $techmap\murax.system_cpu.$procmux$1857_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1856_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1893"
  cell $mux $techmap\murax.system_cpu.$procmux$1859
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$1\_zz_74[0:0]
    connect \B $techmap\murax.system_cpu.$3\_zz_74[0:0]
    connect \S $techmap\murax.system_cpu.$procmux$1860_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1859_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1893"
  cell $mux $techmap\murax.system_cpu.$procmux$1862
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$1\memory_arbitration_haltItself[0:0]
    connect \B \murax.system_cpu.writeBack_arbitration_isValid
    connect \S $techmap\murax.system_cpu.$procmux$1863_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1862_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1889"
  cell $mux $techmap\murax.system_cpu.$procmux$1865
    parameter \WIDTH 32
    connect \A 32'xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx
    connect \B \murax.system_cpu.CsrPlugin_mtvec
    connect \S $techmap\murax.system_cpu.$procmux$1866_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1865_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1889"
  cell $mux $techmap\murax.system_cpu.$procmux$1868
    parameter \WIDTH 1
    connect \A 1'0
    connect \B 1'1
    connect \S $techmap\murax.system_cpu.$procmux$1869_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1868_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1886"
  cell $mux $techmap\murax.system_cpu.$procmux$1871
    parameter \WIDTH 1
    connect \A 1'0
    connect \B 1'1
    connect \S $techmap\murax.system_cpu.$procmux$1872_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1871_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1905"
  cell $mux $techmap\murax.system_cpu.$procmux$1874
    parameter \WIDTH 1
    connect \A 1'0
    connect \B 1'1
    connect \S $techmap\murax.system_cpu.$procmux$1875_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1874_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1916"
  cell $mux $techmap\murax.system_cpu.$procmux$1877
    parameter \WIDTH 1
    connect \A 1'0
    connect \B 1'1
    connect \S $techmap\murax.system_cpu.$procmux$1878_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1877_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1925"
  cell $mux $techmap\murax.system_cpu.$procmux$1880
    parameter \WIDTH 1
    connect \A 1'1
    connect \B 1'0
    connect \S $techmap\murax.system_cpu.$procmux$1881_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1880_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1942"
  cell $mux $techmap\murax.system_cpu.$procmux$1883
    parameter \WIDTH 32
    connect \A \murax.system_cpu.iBus_rsp_inst
    connect \B \murax.system_cpu._zz_82
    connect \S $techmap\murax.system_cpu.$procmux$1884_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1883_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1954"
  cell $pmux $techmap\murax.system_cpu.$procmux$1886
    parameter \S_WIDTH 2
    parameter \WIDTH 32
    connect \A \murax.system_cpu.execute_RS2
    connect \B { \murax.system_cpu.execute_RS2 [7:0] \murax.system_cpu.execute_RS2 [7:0] \murax.system_cpu.execute_RS2 [7:0] \murax.system_cpu.execute_RS2 [7:0] \murax.system_cpu.execute_RS2 [15:0] \murax.system_cpu.execute_RS2 [15:0] }
    connect \S { $techmap\murax.system_cpu.$procmux$1888_CMP $techmap\murax.system_cpu.$procmux$1887_CMP }
    connect \Y $techmap\murax.system_cpu.$procmux$1886_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1954"
  cell $eq $techmap\murax.system_cpu.$procmux$1887_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu._zz_136
    connect \B 2'01
    connect \Y $techmap\murax.system_cpu.$procmux$1887_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1954"
  cell $eq $techmap\murax.system_cpu.$procmux$1888_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu._zz_136
    connect \B 2'00
    connect \Y $techmap\murax.system_cpu.$procmux$1888_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1970"
  cell $pmux $techmap\murax.system_cpu.$procmux$1890
    parameter \S_WIDTH 2
    parameter \WIDTH 4
    connect \A 4'1111
    connect \B 8'00010011
    connect \S { $techmap\murax.system_cpu.$procmux$1892_CMP $techmap\murax.system_cpu.$procmux$1891_CMP }
    connect \Y $techmap\murax.system_cpu.$procmux$1890_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1970"
  cell $eq $techmap\murax.system_cpu.$procmux$1891_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu._zz_136
    connect \B 2'01
    connect \Y $techmap\murax.system_cpu.$procmux$1891_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1970"
  cell $eq $techmap\murax.system_cpu.$procmux$1892_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu._zz_136
    connect \B 2'00
    connect \Y $techmap\murax.system_cpu.$procmux$1892_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1987"
  cell $mux $techmap\murax.system_cpu.$procmux$1895
    parameter \WIDTH 8
    connect \A \murax.system_cpu.writeBack_MEMORY_READ_DATA [15:8]
    connect \B \murax.system_cpu.writeBack_MEMORY_READ_DATA [31:24]
    connect \S $techmap\murax.system_cpu.$procmux$1896_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1895_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1987"
  cell $eq $techmap\murax.system_cpu.$procmux$1896_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.writeBack_MEMORY_ADDRESS_LOW
    connect \B 2'10
    connect \Y $techmap\murax.system_cpu.$procmux$1896_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1987"
  cell $pmux $techmap\murax.system_cpu.$procmux$1898
    parameter \S_WIDTH 3
    parameter \WIDTH 8
    connect \A \murax.system_cpu.writeBack_MEMORY_READ_DATA [7:0]
    connect \B { \murax.system_cpu.writeBack_MEMORY_READ_DATA [15:8] \murax.system_cpu.writeBack_MEMORY_READ_DATA [23:16] \murax.system_cpu.writeBack_MEMORY_READ_DATA [31:24] }
    connect \S { $techmap\murax.system_cpu.$procmux$1901_CMP $techmap\murax.system_cpu.$procmux$1900_CMP $techmap\murax.system_cpu.$procmux$1899_CMP }
    connect \Y $techmap\murax.system_cpu.$procmux$1898_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1987"
  cell $eq $techmap\murax.system_cpu.$procmux$1899_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.writeBack_MEMORY_ADDRESS_LOW
    connect \B 2'11
    connect \Y $techmap\murax.system_cpu.$procmux$1899_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1987"
  cell $eq $techmap\murax.system_cpu.$procmux$1900_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.writeBack_MEMORY_ADDRESS_LOW
    connect \B 2'10
    connect \Y $techmap\murax.system_cpu.$procmux$1900_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1987"
  cell $eq $techmap\murax.system_cpu.$procmux$1901_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.writeBack_MEMORY_ADDRESS_LOW
    connect \B 2'01
    connect \Y $techmap\murax.system_cpu.$procmux$1901_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2053"
  cell $pmux $techmap\murax.system_cpu.$procmux$1903
    parameter \S_WIDTH 2
    parameter \WIDTH 32
    connect \A \murax.system_cpu.writeBack_DBusSimplePlugin_rspShifted
    connect \B { \murax.system_cpu._zz_86 \murax.system_cpu._zz_88 }
    connect \S { $techmap\murax.system_cpu.$procmux$1905_CMP $techmap\murax.system_cpu.$procmux$1904_CMP }
    connect \Y $techmap\murax.system_cpu.$procmux$1903_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2053"
  cell $eq $techmap\murax.system_cpu.$procmux$1904_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu._zz_146
    connect \B 2'01
    connect \Y $techmap\murax.system_cpu.$procmux$1904_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2053"
  cell $eq $techmap\murax.system_cpu.$procmux$1905_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu._zz_146
    connect \B 2'00
    connect \Y $techmap\murax.system_cpu.$procmux$1905_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2071"
  cell $mux $techmap\murax.system_cpu.$procmux$1907
    parameter \WIDTH 1
    connect \A 1'0
    connect \B 1'1
    connect \S $techmap\murax.system_cpu.$procmux$1908_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1907_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2082"
  cell $mux $techmap\murax.system_cpu.$procmux$1910
    parameter \WIDTH 32
    connect \A $techmap\murax.system_cpu.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2087$337_Y
    connect \B \murax.system_cpu.writeBack_PC
    connect \S $techmap\murax.system_cpu.$procmux$1911_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1910_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2127"
  cell $mux $techmap\murax.system_cpu.$procmux$1913
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$1\execute_CsrPlugin_illegalAccess[0:0]
    connect \B 1'1
    connect \S $techmap\murax.system_cpu.$procmux$1914_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1913_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2098"
  cell $mux $techmap\murax.system_cpu.$procmux$1919
    parameter \WIDTH 1
    connect \A 1'0
    connect \B \murax.system_cpu.CsrPlugin_mstatus_MPP [1]
    connect \S $techmap\murax.system_cpu.$procmux$1920_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1919_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2098"
  cell $eq $techmap\murax.system_cpu.$procmux$1920_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 12
    parameter \B_SIGNED 0
    parameter \B_WIDTH 12
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_CsrPlugin_csrAddress
    connect \B 12'001100000000
    connect \Y $techmap\murax.system_cpu.$procmux$1920_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2098"
  cell $mux $techmap\murax.system_cpu.$procmux$1922
    parameter \WIDTH 3
    connect \A 3'000
    connect \B \murax.system_cpu.CsrPlugin_mcause_exceptionCode [2:0]
    connect \S $techmap\murax.system_cpu.$procmux$1923_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1922_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2098"
  cell $eq $techmap\murax.system_cpu.$procmux$1923_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 12
    parameter \B_SIGNED 0
    parameter \B_WIDTH 12
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_CsrPlugin_csrAddress
    connect \B 12'001101000010
    connect \Y $techmap\murax.system_cpu.$procmux$1923_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2098"
  cell $pmux $techmap\murax.system_cpu.$procmux$1925
    parameter \S_WIDTH 4
    parameter \WIDTH 1
    connect \A 1'0
    connect \B { \murax.system_cpu.CsrPlugin_mstatus_MIE \murax.system_cpu.CsrPlugin_mip_MSIP \murax.system_cpu.CsrPlugin_mie_MSIE \murax.system_cpu.CsrPlugin_mcause_exceptionCode [3] }
    connect \S { $techmap\murax.system_cpu.$procmux$1929_CMP $techmap\murax.system_cpu.$procmux$1928_CMP $techmap\murax.system_cpu.$procmux$1927_CMP $techmap\murax.system_cpu.$procmux$1926_CMP }
    connect \Y $techmap\murax.system_cpu.$procmux$1925_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2098"
  cell $eq $techmap\murax.system_cpu.$procmux$1926_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 12
    parameter \B_SIGNED 0
    parameter \B_WIDTH 12
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_CsrPlugin_csrAddress
    connect \B 12'001101000010
    connect \Y $techmap\murax.system_cpu.$procmux$1926_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2098"
  cell $eq $techmap\murax.system_cpu.$procmux$1927_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 12
    parameter \B_SIGNED 0
    parameter \B_WIDTH 12
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_CsrPlugin_csrAddress
    connect \B 12'001100000100
    connect \Y $techmap\murax.system_cpu.$procmux$1927_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2098"
  cell $eq $techmap\murax.system_cpu.$procmux$1928_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 12
    parameter \B_SIGNED 0
    parameter \B_WIDTH 12
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_CsrPlugin_csrAddress
    connect \B 12'001101000100
    connect \Y $techmap\murax.system_cpu.$procmux$1928_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2098"
  cell $eq $techmap\murax.system_cpu.$procmux$1929_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 12
    parameter \B_SIGNED 0
    parameter \B_WIDTH 12
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_CsrPlugin_csrAddress
    connect \B 12'001100000000
    connect \Y $techmap\murax.system_cpu.$procmux$1929_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2098"
  cell $pmux $techmap\murax.system_cpu.$procmux$1932
    parameter \S_WIDTH 3
    parameter \WIDTH 1
    connect \A 1'0
    connect \B { \murax.system_cpu.CsrPlugin_mstatus_MPIE \murax.system_cpu.CsrPlugin_mip_MTIP \murax.system_cpu.CsrPlugin_mie_MTIE }
    connect \S { $techmap\murax.system_cpu.$procmux$1935_CMP $techmap\murax.system_cpu.$procmux$1934_CMP $techmap\murax.system_cpu.$procmux$1933_CMP }
    connect \Y $techmap\murax.system_cpu.$procmux$1932_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2098"
  cell $eq $techmap\murax.system_cpu.$procmux$1933_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 12
    parameter \B_SIGNED 0
    parameter \B_WIDTH 12
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_CsrPlugin_csrAddress
    connect \B 12'001100000100
    connect \Y $techmap\murax.system_cpu.$procmux$1933_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2098"
  cell $eq $techmap\murax.system_cpu.$procmux$1934_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 12
    parameter \B_SIGNED 0
    parameter \B_WIDTH 12
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_CsrPlugin_csrAddress
    connect \B 12'001101000100
    connect \Y $techmap\murax.system_cpu.$procmux$1934_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2098"
  cell $eq $techmap\murax.system_cpu.$procmux$1935_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 12
    parameter \B_SIGNED 0
    parameter \B_WIDTH 12
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_CsrPlugin_csrAddress
    connect \B 12'001100000000
    connect \Y $techmap\murax.system_cpu.$procmux$1935_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2118"
  cell $mux $techmap\murax.system_cpu.$procmux$1938
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2096$348_Y
    connect \B 1'0
    connect \S $techmap\murax.system_cpu.$procmux$1939_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1938_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2098"
  cell $mux $techmap\murax.system_cpu.$procmux$1940
    parameter \WIDTH 1
    connect \A 1'x
    connect \B $techmap\murax.system_cpu.$procmux$1938_Y
    connect \S $techmap\murax.system_cpu.$procmux$1941_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1940_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2098"
  cell $eq $techmap\murax.system_cpu.$procmux$1941_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 12
    parameter \B_SIGNED 0
    parameter \B_WIDTH 12
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_CsrPlugin_csrAddress
    connect \B 12'001101000010
    connect \Y $techmap\murax.system_cpu.$procmux$1941_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2098"
  cell $pmux $techmap\murax.system_cpu.$procmux$1943
    parameter \S_WIDTH 4
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2096$348_Y
    connect \B { 3'000 $techmap\murax.system_cpu.$2\execute_CsrPlugin_illegalAccess[0:0] }
    connect \S { $techmap\murax.system_cpu.$procmux$1947_CMP $techmap\murax.system_cpu.$procmux$1946_CMP $techmap\murax.system_cpu.$procmux$1945_CMP $techmap\murax.system_cpu.$procmux$1944_CMP }
    connect \Y $techmap\murax.system_cpu.$procmux$1943_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2098"
  cell $eq $techmap\murax.system_cpu.$procmux$1944_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 12
    parameter \B_SIGNED 0
    parameter \B_WIDTH 12
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_CsrPlugin_csrAddress
    connect \B 12'001101000010
    connect \Y $techmap\murax.system_cpu.$procmux$1944_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2098"
  cell $eq $techmap\murax.system_cpu.$procmux$1945_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 12
    parameter \B_SIGNED 0
    parameter \B_WIDTH 12
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_CsrPlugin_csrAddress
    connect \B 12'001100000100
    connect \Y $techmap\murax.system_cpu.$procmux$1945_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2098"
  cell $eq $techmap\murax.system_cpu.$procmux$1946_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 12
    parameter \B_SIGNED 0
    parameter \B_WIDTH 12
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_CsrPlugin_csrAddress
    connect \B 12'001101000100
    connect \Y $techmap\murax.system_cpu.$procmux$1946_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2098"
  cell $eq $techmap\murax.system_cpu.$procmux$1947_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 12
    parameter \B_SIGNED 0
    parameter \B_WIDTH 12
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_CsrPlugin_csrAddress
    connect \B 12'001100000000
    connect \Y $techmap\murax.system_cpu.$procmux$1947_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2098"
  cell $mux $techmap\murax.system_cpu.$procmux$1949
    parameter \WIDTH 1
    connect \A 1'0
    connect \B \murax.system_cpu.CsrPlugin_mcause_interrupt
    connect \S $techmap\murax.system_cpu.$procmux$1950_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1949_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2098"
  cell $eq $techmap\murax.system_cpu.$procmux$1950_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 12
    parameter \B_SIGNED 0
    parameter \B_WIDTH 12
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_CsrPlugin_csrAddress
    connect \B 12'001101000010
    connect \Y $techmap\murax.system_cpu.$procmux$1950_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2098"
  cell $pmux $techmap\murax.system_cpu.$procmux$1953
    parameter \S_WIDTH 3
    parameter \WIDTH 1
    connect \A 1'0
    connect \B { \murax.system_cpu.CsrPlugin_mstatus_MPP [0] \murax.system_cpu.CsrPlugin_mip_MEIP \murax.system_cpu.CsrPlugin_mie_MEIE }
    connect \S { $techmap\murax.system_cpu.$procmux$1956_CMP $techmap\murax.system_cpu.$procmux$1955_CMP $techmap\murax.system_cpu.$procmux$1954_CMP }
    connect \Y $techmap\murax.system_cpu.$procmux$1953_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2098"
  cell $eq $techmap\murax.system_cpu.$procmux$1954_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 12
    parameter \B_SIGNED 0
    parameter \B_WIDTH 12
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_CsrPlugin_csrAddress
    connect \B 12'001100000100
    connect \Y $techmap\murax.system_cpu.$procmux$1954_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2098"
  cell $eq $techmap\murax.system_cpu.$procmux$1955_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 12
    parameter \B_SIGNED 0
    parameter \B_WIDTH 12
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_CsrPlugin_csrAddress
    connect \B 12'001101000100
    connect \Y $techmap\murax.system_cpu.$procmux$1955_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2098"
  cell $eq $techmap\murax.system_cpu.$procmux$1956_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 12
    parameter \B_SIGNED 0
    parameter \B_WIDTH 12
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_CsrPlugin_csrAddress
    connect \B 12'001100000000
    connect \Y $techmap\murax.system_cpu.$procmux$1956_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2134"
  cell $mux $techmap\murax.system_cpu.$procmux$1958
    parameter \WIDTH 32
    connect \A $techmap\murax.system_cpu.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2139$355_Y
    connect \B \murax.system_cpu.execute_CsrPlugin_writeSrc
    connect \S $techmap\murax.system_cpu.$procmux$1959_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1958_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2134"
  cell $eq $techmap\murax.system_cpu.$procmux$1959_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu._zz_147
    connect \B 1'0
    connect \Y $techmap\murax.system_cpu.$procmux$1959_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2190"
  cell $mux $techmap\murax.system_cpu.$procmux$1961
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2189$381_Y
    connect \B 1'1
    connect \S $techmap\murax.system_cpu.$procmux$1962_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1961_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2198"
  cell $pmux $techmap\murax.system_cpu.$procmux$1964
    parameter \S_WIDTH 3
    parameter \WIDTH 32
    connect \A \murax.system_cpu.execute_SRC1
    connect \B { $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2200$383_Y $techmap\murax.system_cpu.$or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2203$384_Y $techmap\murax.system_cpu.$xor$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2206$385_Y }
    connect \S { $techmap\murax.system_cpu.$procmux$1967_CMP $techmap\murax.system_cpu.$procmux$1966_CMP $techmap\murax.system_cpu.$procmux$1965_CMP }
    connect \Y $techmap\murax.system_cpu.$procmux$1964_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2198"
  cell $eq $techmap\murax.system_cpu.$procmux$1965_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_ALU_BITWISE_CTRL
    connect \B 2'00
    connect \Y $techmap\murax.system_cpu.$procmux$1965_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2198"
  cell $eq $techmap\murax.system_cpu.$procmux$1966_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_ALU_BITWISE_CTRL
    connect \B 2'01
    connect \Y $techmap\murax.system_cpu.$procmux$1966_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2198"
  cell $eq $techmap\murax.system_cpu.$procmux$1967_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_ALU_BITWISE_CTRL
    connect \B 2'10
    connect \Y $techmap\murax.system_cpu.$procmux$1967_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2215"
  cell $pmux $techmap\murax.system_cpu.$procmux$1969
    parameter \S_WIDTH 2
    parameter \WIDTH 32
    connect \A \murax.system_cpu.execute_SRC_ADD_SUB
    connect \B { \murax.system_cpu.execute_IntAluPlugin_bitwise 31'0000000000000000000000000000000 \murax.system_cpu._zz_164 }
    connect \S { $techmap\murax.system_cpu.$procmux$1971_CMP $techmap\murax.system_cpu.$procmux$1970_CMP }
    connect \Y $techmap\murax.system_cpu.$procmux$1969_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2215"
  cell $eq $techmap\murax.system_cpu.$procmux$1970_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_ALU_CTRL
    connect \B 2'01
    connect \Y $techmap\murax.system_cpu.$procmux$1970_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2215"
  cell $eq $techmap\murax.system_cpu.$procmux$1971_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_ALU_CTRL
    connect \B 2'10
    connect \Y $techmap\murax.system_cpu.$procmux$1971_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2230"
  cell $pmux $techmap\murax.system_cpu.$procmux$1973
    parameter \S_WIDTH 2
    parameter \WIDTH 32
    connect \A { \murax.system_cpu.decode_INSTRUCTION [31:12] 12'000000000000 }
    connect \B { \murax.system_cpu._zz_30 32'00000000000000000000000000000100 }
    connect \S { $techmap\murax.system_cpu.$procmux$1975_CMP $techmap\murax.system_cpu.$procmux$1974_CMP }
    connect \Y $techmap\murax.system_cpu.$procmux$1973_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2230"
  cell $eq $techmap\murax.system_cpu.$procmux$1974_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.decode_SRC1_CTRL
    connect \B 2'10
    connect \Y $techmap\murax.system_cpu.$procmux$1974_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2230"
  cell $eq $techmap\murax.system_cpu.$procmux$1975_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.decode_SRC1_CTRL
    connect \B 2'00
    connect \Y $techmap\murax.system_cpu.$procmux$1975_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2293"
  cell $pmux $techmap\murax.system_cpu.$procmux$1977
    parameter \S_WIDTH 3
    parameter \WIDTH 32
    connect \A \murax.system_cpu._zz_26
    connect \B { \murax.system_cpu._zz_27 \murax.system_cpu._zz_111 \murax.system_cpu.decode_INSTRUCTION [31:20] \murax.system_cpu._zz_113 \murax.system_cpu.decode_INSTRUCTION [31:25] \murax.system_cpu.decode_INSTRUCTION [11:7] }
    connect \S { $techmap\murax.system_cpu.$procmux$1980_CMP $techmap\murax.system_cpu.$procmux$1979_CMP $techmap\murax.system_cpu.$procmux$1978_CMP }
    connect \Y $techmap\murax.system_cpu.$procmux$1977_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2293"
  cell $eq $techmap\murax.system_cpu.$procmux$1978_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.decode_SRC2_CTRL
    connect \B 2'10
    connect \Y $techmap\murax.system_cpu.$procmux$1978_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2293"
  cell $eq $techmap\murax.system_cpu.$procmux$1979_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.decode_SRC2_CTRL
    connect \B 2'01
    connect \Y $techmap\murax.system_cpu.$procmux$1979_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2293"
  cell $eq $techmap\murax.system_cpu.$procmux$1980_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.decode_SRC2_CTRL
    connect \B 2'00
    connect \Y $techmap\murax.system_cpu.$procmux$1980_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2320"
  cell $mux $techmap\murax.system_cpu.$procmux$1982
    parameter \WIDTH 32
    connect \A \murax.system_cpu._zz_175
    connect \B $techmap\murax.system_cpu.$sshl$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2322$399_Y
    connect \S $techmap\murax.system_cpu.$procmux$1983_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1982_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2320"
  cell $eq $techmap\murax.system_cpu.$procmux$1983_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_SHIFT_CTRL
    connect \B 2'01
    connect \Y $techmap\murax.system_cpu.$procmux$1983_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2374"
  cell $mux $techmap\murax.system_cpu.$procmux$1985
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$9\_zz_117[0:0]
    connect \B 1'0
    connect \S $techmap\murax.system_cpu.$procmux$1986_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1985_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2371"
  cell $mux $techmap\murax.system_cpu.$procmux$1988
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$9\_zz_116[0:0]
    connect \B 1'0
    connect \S $techmap\murax.system_cpu.$procmux$1989_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1988_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2366"
  cell $mux $techmap\murax.system_cpu.$procmux$1993
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$6\_zz_117[0:0]
    connect \B 1'1
    connect \S $techmap\murax.system_cpu.$procmux$1994_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1993_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2362"
  cell $mux $techmap\murax.system_cpu.$procmux$1995
    parameter \WIDTH 1
    connect \A 1'x
    connect \B $techmap\murax.system_cpu.$procmux$1993_Y
    connect \S $techmap\murax.system_cpu.$procmux$1996_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1995_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2361"
  cell $mux $techmap\murax.system_cpu.$procmux$1997
    parameter \WIDTH 1
    connect \A 1'x
    connect \B $techmap\murax.system_cpu.$procmux$1995_Y
    connect \S $techmap\murax.system_cpu.$procmux$1998_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$1997_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2363"
  cell $mux $techmap\murax.system_cpu.$procmux$2002
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$6\_zz_116[0:0]
    connect \B 1'1
    connect \S $techmap\murax.system_cpu.$procmux$2003_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2002_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2362"
  cell $mux $techmap\murax.system_cpu.$procmux$2004
    parameter \WIDTH 1
    connect \A 1'x
    connect \B $techmap\murax.system_cpu.$procmux$2002_Y
    connect \S $techmap\murax.system_cpu.$procmux$2005_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2004_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2361"
  cell $mux $techmap\murax.system_cpu.$procmux$2006
    parameter \WIDTH 1
    connect \A 1'x
    connect \B $techmap\murax.system_cpu.$procmux$2004_Y
    connect \S $techmap\murax.system_cpu.$procmux$2007_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2006_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2362"
  cell $mux $techmap\murax.system_cpu.$procmux$2010
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$6\_zz_117[0:0]
    connect \B $techmap\murax.system_cpu.$11\_zz_117[0:0]
    connect \S $techmap\murax.system_cpu.$procmux$2011_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2010_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2361"
  cell $mux $techmap\murax.system_cpu.$procmux$2012
    parameter \WIDTH 1
    connect \A 1'x
    connect \B $techmap\murax.system_cpu.$procmux$2010_Y
    connect \S $techmap\murax.system_cpu.$procmux$2013_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2012_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2362"
  cell $mux $techmap\murax.system_cpu.$procmux$2016
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$6\_zz_116[0:0]
    connect \B $techmap\murax.system_cpu.$11\_zz_116[0:0]
    connect \S $techmap\murax.system_cpu.$procmux$2017_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2016_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2361"
  cell $mux $techmap\murax.system_cpu.$procmux$2018
    parameter \WIDTH 1
    connect \A 1'x
    connect \B $techmap\murax.system_cpu.$procmux$2016_Y
    connect \S $techmap\murax.system_cpu.$procmux$2019_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2018_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2361"
  cell $mux $techmap\murax.system_cpu.$procmux$2021
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$6\_zz_117[0:0]
    connect \B $techmap\murax.system_cpu.$10\_zz_117[0:0]
    connect \S $techmap\murax.system_cpu.$procmux$2022_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2021_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2361"
  cell $mux $techmap\murax.system_cpu.$procmux$2024
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$6\_zz_116[0:0]
    connect \B $techmap\murax.system_cpu.$10\_zz_116[0:0]
    connect \S $techmap\murax.system_cpu.$procmux$2025_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2024_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2356"
  cell $mux $techmap\murax.system_cpu.$procmux$2029
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$3\_zz_117[0:0]
    connect \B 1'1
    connect \S $techmap\murax.system_cpu.$procmux$2030_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2029_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2352"
  cell $mux $techmap\murax.system_cpu.$procmux$2031
    parameter \WIDTH 1
    connect \A 1'x
    connect \B $techmap\murax.system_cpu.$procmux$2029_Y
    connect \S $techmap\murax.system_cpu.$procmux$2032_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2031_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2351"
  cell $mux $techmap\murax.system_cpu.$procmux$2033
    parameter \WIDTH 1
    connect \A 1'x
    connect \B $techmap\murax.system_cpu.$procmux$2031_Y
    connect \S $techmap\murax.system_cpu.$procmux$2034_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2033_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2353"
  cell $mux $techmap\murax.system_cpu.$procmux$2038
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$3\_zz_116[0:0]
    connect \B 1'1
    connect \S $techmap\murax.system_cpu.$procmux$2039_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2038_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2352"
  cell $mux $techmap\murax.system_cpu.$procmux$2040
    parameter \WIDTH 1
    connect \A 1'x
    connect \B $techmap\murax.system_cpu.$procmux$2038_Y
    connect \S $techmap\murax.system_cpu.$procmux$2041_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2040_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2351"
  cell $mux $techmap\murax.system_cpu.$procmux$2042
    parameter \WIDTH 1
    connect \A 1'x
    connect \B $techmap\murax.system_cpu.$procmux$2040_Y
    connect \S $techmap\murax.system_cpu.$procmux$2043_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2042_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2352"
  cell $mux $techmap\murax.system_cpu.$procmux$2046
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$3\_zz_117[0:0]
    connect \B $techmap\murax.system_cpu.$8\_zz_117[0:0]
    connect \S $techmap\murax.system_cpu.$procmux$2047_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2046_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2351"
  cell $mux $techmap\murax.system_cpu.$procmux$2048
    parameter \WIDTH 1
    connect \A 1'x
    connect \B $techmap\murax.system_cpu.$procmux$2046_Y
    connect \S $techmap\murax.system_cpu.$procmux$2049_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2048_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2352"
  cell $mux $techmap\murax.system_cpu.$procmux$2052
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$3\_zz_116[0:0]
    connect \B $techmap\murax.system_cpu.$8\_zz_116[0:0]
    connect \S $techmap\murax.system_cpu.$procmux$2053_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2052_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2351"
  cell $mux $techmap\murax.system_cpu.$procmux$2054
    parameter \WIDTH 1
    connect \A 1'x
    connect \B $techmap\murax.system_cpu.$procmux$2052_Y
    connect \S $techmap\murax.system_cpu.$procmux$2055_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2054_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2351"
  cell $mux $techmap\murax.system_cpu.$procmux$2057
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$3\_zz_117[0:0]
    connect \B $techmap\murax.system_cpu.$7\_zz_117[0:0]
    connect \S $techmap\murax.system_cpu.$procmux$2058_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2057_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2351"
  cell $mux $techmap\murax.system_cpu.$procmux$2060
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$3\_zz_116[0:0]
    connect \B $techmap\murax.system_cpu.$7\_zz_116[0:0]
    connect \S $techmap\murax.system_cpu.$procmux$2061_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2060_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2346"
  cell $mux $techmap\murax.system_cpu.$procmux$2064
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$1\_zz_117[0:0]
    connect \B 1'1
    connect \S $techmap\murax.system_cpu.$procmux$2065_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2064_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2341"
  cell $mux $techmap\murax.system_cpu.$procmux$2066
    parameter \WIDTH 1
    connect \A 1'x
    connect \B $techmap\murax.system_cpu.$procmux$2064_Y
    connect \S $techmap\murax.system_cpu.$procmux$2067_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2066_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2343"
  cell $mux $techmap\murax.system_cpu.$procmux$2070
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$1\_zz_116[0:0]
    connect \B 1'1
    connect \S $techmap\murax.system_cpu.$procmux$2071_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2070_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2341"
  cell $mux $techmap\murax.system_cpu.$procmux$2072
    parameter \WIDTH 1
    connect \A 1'x
    connect \B $techmap\murax.system_cpu.$procmux$2070_Y
    connect \S $techmap\murax.system_cpu.$procmux$2073_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2072_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2341"
  cell $mux $techmap\murax.system_cpu.$procmux$2075
    parameter \WIDTH 1
    connect \A 1'x
    connect \B $techmap\murax.system_cpu.$5\_zz_117[0:0]
    connect \S $techmap\murax.system_cpu.$procmux$2076_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2075_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2341"
  cell $mux $techmap\murax.system_cpu.$procmux$2078
    parameter \WIDTH 1
    connect \A 1'x
    connect \B $techmap\murax.system_cpu.$5\_zz_116[0:0]
    connect \S $techmap\murax.system_cpu.$procmux$2079_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2078_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2341"
  cell $mux $techmap\murax.system_cpu.$procmux$2081
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$1\_zz_117[0:0]
    connect \B $techmap\murax.system_cpu.$4\_zz_117[0:0]
    connect \S $techmap\murax.system_cpu.$procmux$2082_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2081_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2341"
  cell $mux $techmap\murax.system_cpu.$procmux$2084
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$1\_zz_116[0:0]
    connect \B $techmap\murax.system_cpu.$4\_zz_116[0:0]
    connect \S $techmap\murax.system_cpu.$procmux$2085_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2084_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2337"
  cell $mux $techmap\murax.system_cpu.$procmux$2088
    parameter \WIDTH 1
    connect \A 1'0
    connect \B 1'1
    connect \S $techmap\murax.system_cpu.$procmux$2089_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2088_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2333"
  cell $mux $techmap\murax.system_cpu.$procmux$2090
    parameter \WIDTH 1
    connect \A 1'x
    connect \B $techmap\murax.system_cpu.$procmux$2088_Y
    connect \S $techmap\murax.system_cpu.$procmux$2091_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2090_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2334"
  cell $mux $techmap\murax.system_cpu.$procmux$2094
    parameter \WIDTH 1
    connect \A 1'0
    connect \B 1'1
    connect \S $techmap\murax.system_cpu.$procmux$2095_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2094_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2333"
  cell $mux $techmap\murax.system_cpu.$procmux$2096
    parameter \WIDTH 1
    connect \A 1'x
    connect \B $techmap\murax.system_cpu.$procmux$2094_Y
    connect \S $techmap\murax.system_cpu.$procmux$2097_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2096_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2333"
  cell $mux $techmap\murax.system_cpu.$procmux$2099
    parameter \WIDTH 1
    connect \A 1'0
    connect \B $techmap\murax.system_cpu.$2\_zz_117[0:0]
    connect \S $techmap\murax.system_cpu.$procmux$2100_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2099_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2333"
  cell $mux $techmap\murax.system_cpu.$procmux$2102
    parameter \WIDTH 1
    connect \A 1'0
    connect \B $techmap\murax.system_cpu.$2\_zz_116[0:0]
    connect \S $techmap\murax.system_cpu.$procmux$2103_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2102_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2386"
  cell $mux $techmap\murax.system_cpu.$procmux$2105
    parameter \WIDTH 1
    connect \A \murax.system_cpu.execute_SRC_LESS
    connect \B $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2387$425_Y
    connect \S $techmap\murax.system_cpu.$procmux$2106_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2105_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2384"
  cell $mux $techmap\murax.system_cpu.$procmux$2108
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$procmux$2105_Y
    connect \B 1'x
    connect \S $techmap\murax.system_cpu.$procmux$2109_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2108_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2382"
  cell $mux $techmap\murax.system_cpu.$procmux$2111
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$procmux$2108_Y
    connect \B 1'x
    connect \S $techmap\murax.system_cpu.$procmux$2112_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2111_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2384"
  cell $mux $techmap\murax.system_cpu.$procmux$2114
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$3\_zz_121[0:0]
    connect \B $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2385$422_Y
    connect \S $techmap\murax.system_cpu.$procmux$2115_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2114_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2382"
  cell $mux $techmap\murax.system_cpu.$procmux$2117
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$procmux$2114_Y
    connect \B 1'x
    connect \S $techmap\murax.system_cpu.$procmux$2118_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2117_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2382"
  cell $mux $techmap\murax.system_cpu.$procmux$2120
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$2\_zz_121[0:0]
    connect \B \murax.system_cpu.execute_BranchPlugin_eq
    connect \S $techmap\murax.system_cpu.$procmux$2121_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2120_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2394"
  cell $pmux $techmap\murax.system_cpu.$procmux$2123
    parameter \S_WIDTH 3
    parameter \WIDTH 1
    connect \A \murax.system_cpu._zz_121
    connect \B 3'011
    connect \S { $techmap\murax.system_cpu.$procmux$2126_CMP $techmap\murax.system_cpu.$procmux$2125_CMP $techmap\murax.system_cpu.$procmux$2124_CMP }
    connect \Y $techmap\murax.system_cpu.$procmux$2123_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2394"
  cell $eq $techmap\murax.system_cpu.$procmux$2124_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_BRANCH_CTRL
    connect \B 2'11
    connect \Y $techmap\murax.system_cpu.$procmux$2124_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2394"
  cell $eq $techmap\murax.system_cpu.$procmux$2125_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_BRANCH_CTRL
    connect \B 2'10
    connect \Y $techmap\murax.system_cpu.$procmux$2125_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2394"
  cell $eq $techmap\murax.system_cpu.$procmux$2126_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_BRANCH_CTRL
    connect \B 2'00
    connect \Y $techmap\murax.system_cpu.$procmux$2126_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2475"
  cell $pmux $techmap\murax.system_cpu.$procmux$2128
    parameter \S_WIDTH 2
    parameter \WIDTH 32
    connect \A { \murax.system_cpu._zz_128 \murax.system_cpu.execute_INSTRUCTION [31] \murax.system_cpu.execute_INSTRUCTION [7] \murax.system_cpu.execute_INSTRUCTION [30:25] \murax.system_cpu.execute_INSTRUCTION [11:8] 1'0 }
    connect \B { \murax.system_cpu._zz_124 \murax.system_cpu.execute_INSTRUCTION [31] \murax.system_cpu.execute_INSTRUCTION [19:12] \murax.system_cpu.execute_INSTRUCTION [20] \murax.system_cpu.execute_INSTRUCTION [30:21] 1'0 \murax.system_cpu._zz_126 \murax.system_cpu.execute_INSTRUCTION [31:20] }
    connect \S { $techmap\murax.system_cpu.$procmux$2130_CMP $techmap\murax.system_cpu.$procmux$2129_CMP }
    connect \Y $techmap\murax.system_cpu.$procmux$2128_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2475"
  cell $eq $techmap\murax.system_cpu.$procmux$2129_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_BRANCH_CTRL
    connect \B 2'11
    connect \Y $techmap\murax.system_cpu.$procmux$2129_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2475"
  cell $eq $techmap\murax.system_cpu.$procmux$2130_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_BRANCH_CTRL
    connect \B 2'10
    connect \Y $techmap\murax.system_cpu.$procmux$2130_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2495"
  cell $mux $techmap\murax.system_cpu.$procmux$2132
    parameter \WIDTH 1
    connect \A \murax.system_cpu.DebugPlugin_busReadDataReg [4]
    connect \B \murax.system_cpu.DebugPlugin_stepIt
    connect \S $techmap\murax.system_cpu.$procmux$2133_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2132_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2495"
  cell $mux $techmap\murax.system_cpu.$procmux$2135
    parameter \WIDTH 1
    connect \A \murax.system_cpu.DebugPlugin_busReadDataReg [2]
    connect \B \murax.system_cpu.DebugPlugin_isPipBusy
    connect \S $techmap\murax.system_cpu.$procmux$2136_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2135_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2495"
  cell $mux $techmap\murax.system_cpu.$procmux$2138
    parameter \WIDTH 1
    connect \A \murax.system_cpu.DebugPlugin_busReadDataReg [1]
    connect \B \murax.system_cpu.DebugPlugin_haltIt
    connect \S $techmap\murax.system_cpu.$procmux$2139_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2138_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2495"
  cell $mux $techmap\murax.system_cpu.$procmux$2141
    parameter \WIDTH 1
    connect \A \murax.system_cpu.DebugPlugin_busReadDataReg [0]
    connect \B \murax.system_cpu.DebugPlugin_resetIt
    connect \S $techmap\murax.system_cpu.$procmux$2142_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2141_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2495"
  cell $mux $techmap\murax.system_cpu.$procmux$2144
    parameter \WIDTH 1
    connect \A \murax.system_cpu.DebugPlugin_busReadDataReg [3]
    connect \B \murax.system_cpu.DebugPlugin_haltedByBreak
    connect \S $techmap\murax.system_cpu.$procmux$2145_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2144_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2642"
  cell $mux $techmap\murax.system_cpu.$procmux$2146
    parameter \WIDTH 32
    connect \A \murax.system_cpu.memory_to_writeBack_INSTRUCTION
    connect \B \murax.system_cpu.memory_INSTRUCTION
    connect \S $techmap\murax.system_cpu.$procmux$2147_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2146_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2639"
  cell $mux $techmap\murax.system_cpu.$procmux$2148
    parameter \WIDTH 32
    connect \A \murax.system_cpu.memory_to_writeBack_REGFILE_WRITE_DATA
    connect \B \murax.system_cpu.memory_REGFILE_WRITE_DATA
    connect \S $techmap\murax.system_cpu.$procmux$2149_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2148_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2617"
  cell $mux $techmap\murax.system_cpu.$procmux$2150
    parameter \WIDTH 1
    connect \A 1'1
    connect \B 1'0
    connect \S $techmap\murax.system_cpu.$procmux$2151_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2150_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2615"
  cell $mux $techmap\murax.system_cpu.$procmux$2152
    parameter \WIDTH 1
    connect \A \murax.system_cpu.execute_LightShifterPlugin_isActive
    connect \B $techmap\murax.system_cpu.$procmux$2150_Y
    connect \S $techmap\murax.system_cpu.$procmux$2153_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2152_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2614"
  cell $mux $techmap\murax.system_cpu.$procmux$2154
    parameter \WIDTH 1
    connect \A \murax.system_cpu.execute_LightShifterPlugin_isActive
    connect \B $techmap\murax.system_cpu.$procmux$2152_Y
    connect \S $techmap\murax.system_cpu.$procmux$2155_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2154_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2622"
  cell $mux $techmap\murax.system_cpu.$procmux$2156
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$procmux$2154_Y
    connect \B 1'0
    connect \S $techmap\murax.system_cpu.$procmux$2157_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2156_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2689"
  cell $mux $techmap\murax.system_cpu.$procmux$2158
    parameter \WIDTH 1
    connect \A \murax.system_cpu.CsrPlugin_mie_MSIE
    connect \B \murax.system_cpu._zz_185
    connect \S $techmap\murax.system_cpu.$procmux$2159_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2158_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2675"
  cell $mux $techmap\murax.system_cpu.$procmux$2160
    parameter \WIDTH 1
    connect \A \murax.system_cpu.CsrPlugin_mie_MSIE
    connect \B $techmap\murax.system_cpu.$procmux$2158_Y
    connect \S $techmap\murax.system_cpu.$procmux$2161_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2160_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2675"
  cell $eq $techmap\murax.system_cpu.$procmux$2161_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 12
    parameter \B_SIGNED 0
    parameter \B_WIDTH 12
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_CsrPlugin_csrAddress
    connect \B 12'001100000100
    connect \Y $techmap\murax.system_cpu.$procmux$2161_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2689"
  cell $mux $techmap\murax.system_cpu.$procmux$2162
    parameter \WIDTH 1
    connect \A \murax.system_cpu.CsrPlugin_mie_MTIE
    connect \B \murax.system_cpu._zz_184
    connect \S $techmap\murax.system_cpu.$procmux$2163_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2162_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2675"
  cell $mux $techmap\murax.system_cpu.$procmux$2164
    parameter \WIDTH 1
    connect \A \murax.system_cpu.CsrPlugin_mie_MTIE
    connect \B $techmap\murax.system_cpu.$procmux$2162_Y
    connect \S $techmap\murax.system_cpu.$procmux$2165_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2164_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2675"
  cell $eq $techmap\murax.system_cpu.$procmux$2165_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 12
    parameter \B_SIGNED 0
    parameter \B_WIDTH 12
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_CsrPlugin_csrAddress
    connect \B 12'001100000100
    connect \Y $techmap\murax.system_cpu.$procmux$2165_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2689"
  cell $mux $techmap\murax.system_cpu.$procmux$2166
    parameter \WIDTH 1
    connect \A \murax.system_cpu.CsrPlugin_mie_MEIE
    connect \B \murax.system_cpu._zz_183
    connect \S $techmap\murax.system_cpu.$procmux$2167_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2166_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2675"
  cell $mux $techmap\murax.system_cpu.$procmux$2168
    parameter \WIDTH 1
    connect \A \murax.system_cpu.CsrPlugin_mie_MEIE
    connect \B $techmap\murax.system_cpu.$procmux$2166_Y
    connect \S $techmap\murax.system_cpu.$procmux$2169_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2168_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2675"
  cell $eq $techmap\murax.system_cpu.$procmux$2169_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 12
    parameter \B_SIGNED 0
    parameter \B_WIDTH 12
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_CsrPlugin_csrAddress
    connect \B 12'001100000100
    connect \Y $techmap\murax.system_cpu.$procmux$2169_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2684"
  cell $mux $techmap\murax.system_cpu.$procmux$2171
    parameter \WIDTH 1
    connect \A \murax.system_cpu.CsrPlugin_mip_MSIP
    connect \B \murax.system_cpu._zz_182
    connect \S $techmap\murax.system_cpu.$procmux$2172_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2171_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2675"
  cell $mux $techmap\murax.system_cpu.$procmux$2173
    parameter \WIDTH 1
    connect \A \murax.system_cpu.CsrPlugin_mip_MSIP
    connect \B $techmap\murax.system_cpu.$procmux$2171_Y
    connect \S $techmap\murax.system_cpu.$procmux$2174_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2173_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2675"
  cell $eq $techmap\murax.system_cpu.$procmux$2174_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 12
    parameter \B_SIGNED 0
    parameter \B_WIDTH 12
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_CsrPlugin_csrAddress
    connect \B 12'001101000100
    connect \Y $techmap\murax.system_cpu.$procmux$2174_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2602"
  cell $mux $techmap\murax.system_cpu.$procmux$2175
    parameter \WIDTH 2
    connect \A \murax.system_cpu.CsrPlugin_mstatus_MPP
    connect \B \murax.system_cpu._zz_76
    connect \S $techmap\murax.system_cpu.$procmux$2176_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2175_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2677"
  cell $mux $techmap\murax.system_cpu.$procmux$2179
    parameter \WIDTH 2
    connect \A $techmap\murax.system_cpu.$procmux$2175_Y
    connect \B \murax.system_cpu.execute_CsrPlugin_writeData [12:11]
    connect \S $techmap\murax.system_cpu.$procmux$2180_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2179_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2675"
  cell $mux $techmap\murax.system_cpu.$procmux$2181
    parameter \WIDTH 2
    connect \A $techmap\murax.system_cpu.$procmux$2175_Y
    connect \B $techmap\murax.system_cpu.$procmux$2179_Y
    connect \S $techmap\murax.system_cpu.$procmux$2182_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2181_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2675"
  cell $eq $techmap\murax.system_cpu.$procmux$2182_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 12
    parameter \B_SIGNED 0
    parameter \B_WIDTH 12
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_CsrPlugin_csrAddress
    connect \B 12'001100000000
    connect \Y $techmap\murax.system_cpu.$procmux$2182_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2602"
  cell $mux $techmap\murax.system_cpu.$procmux$2183
    parameter \WIDTH 1
    connect \A \murax.system_cpu.CsrPlugin_mstatus_MPIE
    connect \B \murax.system_cpu.CsrPlugin_mstatus_MIE
    connect \S $techmap\murax.system_cpu.$procmux$2184_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2183_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2677"
  cell $mux $techmap\murax.system_cpu.$procmux$2187
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$procmux$2183_Y
    connect \B \murax.system_cpu._zz_180
    connect \S $techmap\murax.system_cpu.$procmux$2188_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2187_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2675"
  cell $mux $techmap\murax.system_cpu.$procmux$2189
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$procmux$2183_Y
    connect \B $techmap\murax.system_cpu.$procmux$2187_Y
    connect \S $techmap\murax.system_cpu.$procmux$2190_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2189_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2675"
  cell $eq $techmap\murax.system_cpu.$procmux$2190_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 12
    parameter \B_SIGNED 0
    parameter \B_WIDTH 12
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_CsrPlugin_csrAddress
    connect \B 12'001100000000
    connect \Y $techmap\murax.system_cpu.$procmux$2190_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2602"
  cell $mux $techmap\murax.system_cpu.$procmux$2191
    parameter \WIDTH 1
    connect \A \murax.system_cpu.CsrPlugin_mstatus_MIE
    connect \B 1'0
    connect \S $techmap\murax.system_cpu.$procmux$2192_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2191_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2608"
  cell $mux $techmap\murax.system_cpu.$procmux$2193
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$procmux$2191_Y
    connect \B \murax.system_cpu.CsrPlugin_mstatus_MPIE
    connect \S $techmap\murax.system_cpu.$procmux$2194_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2193_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2607"
  cell $mux $techmap\murax.system_cpu.$procmux$2195
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$procmux$2191_Y
    connect \B $techmap\murax.system_cpu.$procmux$2193_Y
    connect \S $techmap\murax.system_cpu.$procmux$2196_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2195_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2677"
  cell $mux $techmap\murax.system_cpu.$procmux$2199
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$procmux$2195_Y
    connect \B \murax.system_cpu._zz_181
    connect \S $techmap\murax.system_cpu.$procmux$2200_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2199_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2675"
  cell $mux $techmap\murax.system_cpu.$procmux$2201
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$procmux$2195_Y
    connect \B $techmap\murax.system_cpu.$procmux$2199_Y
    connect \S $techmap\murax.system_cpu.$procmux$2202_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2201_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2675"
  cell $eq $techmap\murax.system_cpu.$procmux$2202_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 12
    parameter \B_SIGNED 0
    parameter \B_WIDTH 12
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu.execute_CsrPlugin_csrAddress
    connect \B 12'001100000000
    connect \Y $techmap\murax.system_cpu.$procmux$2202_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2594"
  cell $mux $techmap\murax.system_cpu.$procmux$2203
    parameter \WIDTH 1
    connect \A \murax.system_cpu._zz_81
    connect \B 1'1
    connect \S $techmap\murax.system_cpu.$procmux$2204_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2203_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2597"
  cell $mux $techmap\murax.system_cpu.$procmux$2205
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$procmux$2203_Y
    connect \B 1'0
    connect \S $techmap\murax.system_cpu.$procmux$2206_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2205_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2588"
  cell $mux $techmap\murax.system_cpu.$procmux$2207
    parameter \WIDTH 1
    connect \A \murax.system_cpu.prefetch_IBusSimplePlugin_pendingCmd
    connect \B 1'0
    connect \S $techmap\murax.system_cpu.$procmux$2208_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2207_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2591"
  cell $mux $techmap\murax.system_cpu.$procmux$2209
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$procmux$2207_Y
    connect \B 1'1
    connect \S $techmap\murax.system_cpu.$procmux$2210_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2209_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2582"
  cell $mux $techmap\murax.system_cpu.$procmux$2211
    parameter \WIDTH 32
    connect \A \murax.system_cpu.prefetch_PcManagerSimplePlugin_pcReg
    connect \B \murax.system_cpu.prefetch_PcManagerSimplePlugin_pcPlus4
    connect \S $techmap\murax.system_cpu.$procmux$2212_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2211_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2585"
  cell $mux $techmap\murax.system_cpu.$procmux$2213
    parameter \WIDTH 32
    connect \A $techmap\murax.system_cpu.$procmux$2211_Y
    connect \B \murax.system_cpu.prefetch_PcManagerSimplePlugin_jump_pcLoad_payload
    connect \S $techmap\murax.system_cpu.$procmux$2214_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2213_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2608"
  cell $mux $techmap\murax.system_cpu.$procmux$2215
    parameter \WIDTH 2
    connect \A \murax.system_cpu._zz_76
    connect \B \murax.system_cpu.CsrPlugin_mstatus_MPP
    connect \S $techmap\murax.system_cpu.$procmux$2216_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2215_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2607"
  cell $mux $techmap\murax.system_cpu.$procmux$2217
    parameter \WIDTH 2
    connect \A \murax.system_cpu._zz_76
    connect \B $techmap\murax.system_cpu.$procmux$2215_Y
    connect \S $techmap\murax.system_cpu.$procmux$2218_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2217_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2669"
  cell $mux $techmap\murax.system_cpu.$procmux$2219
    parameter \WIDTH 1
    connect \A \murax.system_cpu.writeBack_arbitration_isValid
    connect \B 1'0
    connect \S $techmap\murax.system_cpu.$procmux$2220_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2219_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2672"
  cell $mux $techmap\murax.system_cpu.$procmux$2221
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$procmux$2219_Y
    connect \B \murax.system_cpu.memory_arbitration_isValid
    connect \S $techmap\murax.system_cpu.$procmux$2222_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2221_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2663"
  cell $mux $techmap\murax.system_cpu.$procmux$2223
    parameter \WIDTH 1
    connect \A \murax.system_cpu.memory_arbitration_isValid
    connect \B 1'0
    connect \S $techmap\murax.system_cpu.$procmux$2224_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2223_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2666"
  cell $mux $techmap\murax.system_cpu.$procmux$2225
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$procmux$2223_Y
    connect \B \murax.system_cpu.execute_arbitration_isValid
    connect \S $techmap\murax.system_cpu.$procmux$2226_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2225_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2657"
  cell $mux $techmap\murax.system_cpu.$procmux$2227
    parameter \WIDTH 1
    connect \A \murax.system_cpu.execute_arbitration_isValid
    connect \B 1'0
    connect \S $techmap\murax.system_cpu.$procmux$2228_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2227_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2660"
  cell $mux $techmap\murax.system_cpu.$procmux$2229
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$procmux$2227_Y
    connect \B \murax.system_cpu.decode_arbitration_isValid
    connect \S $techmap\murax.system_cpu.$procmux$2230_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2229_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2632"
  cell $mux $techmap\murax.system_cpu.$procmux$2231
    parameter \WIDTH 1
    connect \A \murax.system_cpu.decode_arbitration_isValid
    connect \B 1'1
    connect \S $techmap\murax.system_cpu.$procmux$2232_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2231_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2631"
  cell $mux $techmap\murax.system_cpu.$procmux$2233
    parameter \WIDTH 1
    connect \A \murax.system_cpu.decode_arbitration_isValid
    connect \B $techmap\murax.system_cpu.$procmux$2231_Y
    connect \S $techmap\murax.system_cpu.$procmux$2234_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2233_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2627"
  cell $mux $techmap\murax.system_cpu.$procmux$2236
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$procmux$2233_Y
    connect \B \murax.system_cpu.decode_arbitration_isValid
    connect \S $techmap\murax.system_cpu.$procmux$2237_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2236_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2627"
  cell $eq $techmap\murax.system_cpu.$procmux$2237_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu._zz_143
    connect \B 1'0
    connect \Y $techmap\murax.system_cpu.$procmux$2237_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2626"
  cell $mux $techmap\murax.system_cpu.$procmux$2238
    parameter \WIDTH 1
    connect \A \murax.system_cpu.decode_arbitration_isValid
    connect \B $techmap\murax.system_cpu.$procmux$2236_Y
    connect \S $techmap\murax.system_cpu.$procmux$2239_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2238_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2651"
  cell $mux $techmap\murax.system_cpu.$procmux$2240
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$procmux$2238_Y
    connect \B 1'0
    connect \S $techmap\murax.system_cpu.$procmux$2241_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2240_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2654"
  cell $mux $techmap\murax.system_cpu.$procmux$2242
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$procmux$2240_Y
    connect \B \murax.system_cpu.fetch_arbitration_isValid
    connect \S $techmap\murax.system_cpu.$procmux$2243_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2242_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2645"
  cell $mux $techmap\murax.system_cpu.$procmux$2244
    parameter \WIDTH 1
    connect \A \murax.system_cpu.fetch_arbitration_isValid
    connect \B 1'0
    connect \S $techmap\murax.system_cpu.$procmux$2245_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2244_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2648"
  cell $mux $techmap\murax.system_cpu.$procmux$2246
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$procmux$2244_Y
    connect \B \murax.system_cpu.prefetch_arbitration_isValid
    connect \S $techmap\murax.system_cpu.$procmux$2247_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2246_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2865"
  cell $mux $techmap\murax.system_cpu.$procmux$2248
    parameter \WIDTH 2
    connect \A \murax.system_cpu.memory_to_writeBack_MEMORY_ADDRESS_LOW
    connect \B \murax.system_cpu.memory_MEMORY_ADDRESS_LOW
    connect \S $techmap\murax.system_cpu.$procmux$2249_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2248_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2862"
  cell $mux $techmap\murax.system_cpu.$procmux$2250
    parameter \WIDTH 2
    connect \A \murax.system_cpu.execute_to_memory_MEMORY_ADDRESS_LOW
    connect \B \murax.system_cpu.execute_MEMORY_ADDRESS_LOW
    connect \S $techmap\murax.system_cpu.$procmux$2251_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2250_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2859"
  cell $mux $techmap\murax.system_cpu.$procmux$2252
    parameter \WIDTH 32
    connect \A \murax.system_cpu.execute_to_memory_FORMAL_PC_NEXT
    connect \B \murax.system_cpu._zz_70
    connect \S $techmap\murax.system_cpu.$procmux$2253_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2252_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2856"
  cell $mux $techmap\murax.system_cpu.$procmux$2254
    parameter \WIDTH 32
    connect \A \murax.system_cpu.decode_to_execute_FORMAL_PC_NEXT
    connect \B \murax.system_cpu.decode_FORMAL_PC_NEXT
    connect \S $techmap\murax.system_cpu.$procmux$2255_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2254_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2853"
  cell $mux $techmap\murax.system_cpu.$procmux$2256
    parameter \WIDTH 32
    connect \A \murax.system_cpu.fetch_to_decode_FORMAL_PC_NEXT
    connect \B \murax.system_cpu.fetch_FORMAL_PC_NEXT
    connect \S $techmap\murax.system_cpu.$procmux$2257_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2256_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2850"
  cell $mux $techmap\murax.system_cpu.$procmux$2258
    parameter \WIDTH 32
    connect \A \murax.system_cpu.prefetch_to_fetch_FORMAL_PC_NEXT
    connect \B \murax.system_cpu.prefetch_FORMAL_PC_NEXT
    connect \S $techmap\murax.system_cpu.$procmux$2259_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2258_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2847"
  cell $mux $techmap\murax.system_cpu.$procmux$2260
    parameter \WIDTH 32
    connect \A \murax.system_cpu.execute_to_memory_INSTRUCTION
    connect \B \murax.system_cpu.execute_INSTRUCTION
    connect \S $techmap\murax.system_cpu.$procmux$2261_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2260_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2844"
  cell $mux $techmap\murax.system_cpu.$procmux$2262
    parameter \WIDTH 32
    connect \A \murax.system_cpu.decode_to_execute_INSTRUCTION
    connect \B \murax.system_cpu.decode_INSTRUCTION
    connect \S $techmap\murax.system_cpu.$procmux$2263_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2262_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2841"
  cell $mux $techmap\murax.system_cpu.$procmux$2264
    parameter \WIDTH 32
    connect \A \murax.system_cpu.fetch_to_decode_INSTRUCTION
    connect \B \murax.system_cpu._zz_66
    connect \S $techmap\murax.system_cpu.$procmux$2265_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2264_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2868"
  cell $mux $techmap\murax.system_cpu.$procmux$2266
    parameter \WIDTH 32
    connect \A $techmap\murax.system_cpu.$procmux$2264_Y
    connect \B \murax.system_cpu.debug_bus_cmd_payload_data
    connect \S $techmap\murax.system_cpu.$procmux$2267_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2266_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2838"
  cell $mux $techmap\murax.system_cpu.$procmux$2268
    parameter \WIDTH 2
    connect \A \murax.system_cpu.decode_to_execute_SHIFT_CTRL
    connect \B \murax.system_cpu._zz_2
    connect \S $techmap\murax.system_cpu.$procmux$2269_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2268_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2835"
  cell $mux $techmap\murax.system_cpu.$procmux$2270
    parameter \WIDTH 1
    connect \A \murax.system_cpu.memory_to_writeBack_REGFILE_WRITE_VALID
    connect \B \murax.system_cpu.memory_REGFILE_WRITE_VALID
    connect \S $techmap\murax.system_cpu.$procmux$2271_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2270_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2832"
  cell $mux $techmap\murax.system_cpu.$procmux$2272
    parameter \WIDTH 1
    connect \A \murax.system_cpu.execute_to_memory_REGFILE_WRITE_VALID
    connect \B \murax.system_cpu.execute_REGFILE_WRITE_VALID
    connect \S $techmap\murax.system_cpu.$procmux$2273_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2272_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2829"
  cell $mux $techmap\murax.system_cpu.$procmux$2274
    parameter \WIDTH 1
    connect \A \murax.system_cpu.decode_to_execute_REGFILE_WRITE_VALID
    connect \B \murax.system_cpu.decode_REGFILE_WRITE_VALID
    connect \S $techmap\murax.system_cpu.$procmux$2275_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2274_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2826"
  cell $mux $techmap\murax.system_cpu.$procmux$2276
    parameter \WIDTH 2
    connect \A \murax.system_cpu.decode_to_execute_BRANCH_CTRL
    connect \B \murax.system_cpu._zz_5
    connect \S $techmap\murax.system_cpu.$procmux$2277_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2276_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2823"
  cell $mux $techmap\murax.system_cpu.$procmux$2278
    parameter \WIDTH 32
    connect \A \murax.system_cpu.memory_to_writeBack_MEMORY_READ_DATA
    connect \B \murax.system_cpu.memory_MEMORY_READ_DATA
    connect \S $techmap\murax.system_cpu.$procmux$2279_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2278_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2820"
  cell $mux $techmap\murax.system_cpu.$procmux$2280
    parameter \WIDTH 1
    connect \A \murax.system_cpu.decode_to_execute_IS_CSR
    connect \B \murax.system_cpu.decode_IS_CSR
    connect \S $techmap\murax.system_cpu.$procmux$2281_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2280_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2817"
  cell $mux $techmap\murax.system_cpu.$procmux$2282
    parameter \WIDTH 32
    connect \A \murax.system_cpu.decode_to_execute_RS1
    connect \B \murax.system_cpu._zz_30
    connect \S $techmap\murax.system_cpu.$procmux$2283_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2282_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2814"
  cell $mux $techmap\murax.system_cpu.$procmux$2284
    parameter \WIDTH 1
    connect \A \murax.system_cpu.execute_to_memory_BRANCH_DO
    connect \B \murax.system_cpu.execute_BRANCH_DO
    connect \S $techmap\murax.system_cpu.$procmux$2285_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2284_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2811"
  cell $mux $techmap\murax.system_cpu.$procmux$2286
    parameter \WIDTH 2
    connect \A \murax.system_cpu.decode_to_execute_ALU_CTRL
    connect \B \murax.system_cpu._zz_8
    connect \S $techmap\murax.system_cpu.$procmux$2287_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2286_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2808"
  cell $mux $techmap\murax.system_cpu.$procmux$2288
    parameter \WIDTH 1
    connect \A \murax.system_cpu.decode_to_execute_SRC_LESS_UNSIGNED
    connect \B \murax.system_cpu.decode_SRC_LESS_UNSIGNED
    connect \S $techmap\murax.system_cpu.$procmux$2289_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2288_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2805"
  cell $mux $techmap\murax.system_cpu.$procmux$2290
    parameter \WIDTH 32
    connect \A \murax.system_cpu.execute_to_memory_BRANCH_CALC
    connect \B \murax.system_cpu.execute_BRANCH_CALC
    connect \S $techmap\murax.system_cpu.$procmux$2291_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2290_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2802"
  cell $mux $techmap\murax.system_cpu.$procmux$2292
    parameter \WIDTH 32
    connect \A \murax.system_cpu.decode_to_execute_SRC1
    connect \B \murax.system_cpu.decode_SRC1
    connect \S $techmap\murax.system_cpu.$procmux$2293_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2292_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2799"
  cell $mux $techmap\murax.system_cpu.$procmux$2294
    parameter \WIDTH 2
    connect \A \murax.system_cpu.execute_to_memory_ENV_CTRL
    connect \B \murax.system_cpu._zz_11
    connect \S $techmap\murax.system_cpu.$procmux$2295_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2294_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2796"
  cell $mux $techmap\murax.system_cpu.$procmux$2296
    parameter \WIDTH 2
    connect \A \murax.system_cpu.decode_to_execute_ENV_CTRL
    connect \B \murax.system_cpu._zz_14
    connect \S $techmap\murax.system_cpu.$procmux$2297_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2296_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2793"
  cell $mux $techmap\murax.system_cpu.$procmux$2298
    parameter \WIDTH 1
    connect \A \murax.system_cpu.memory_to_writeBack_MEMORY_ENABLE
    connect \B \murax.system_cpu.memory_MEMORY_ENABLE
    connect \S $techmap\murax.system_cpu.$procmux$2299_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2298_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2790"
  cell $mux $techmap\murax.system_cpu.$procmux$2300
    parameter \WIDTH 1
    connect \A \murax.system_cpu.execute_to_memory_MEMORY_ENABLE
    connect \B \murax.system_cpu.execute_MEMORY_ENABLE
    connect \S $techmap\murax.system_cpu.$procmux$2301_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2300_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2787"
  cell $mux $techmap\murax.system_cpu.$procmux$2302
    parameter \WIDTH 1
    connect \A \murax.system_cpu.decode_to_execute_MEMORY_ENABLE
    connect \B \murax.system_cpu.decode_MEMORY_ENABLE
    connect \S $techmap\murax.system_cpu.$procmux$2303_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2302_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2784"
  cell $mux $techmap\murax.system_cpu.$procmux$2304
    parameter \WIDTH 32
    connect \A \murax.system_cpu.decode_to_execute_SRC2
    connect \B \murax.system_cpu.decode_SRC2
    connect \S $techmap\murax.system_cpu.$procmux$2305_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2304_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2781"
  cell $mux $techmap\murax.system_cpu.$procmux$2306
    parameter \WIDTH 32
    connect \A \murax.system_cpu.decode_to_execute_RS2
    connect \B \murax.system_cpu._zz_27
    connect \S $techmap\murax.system_cpu.$procmux$2307_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2306_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2778"
  cell $mux $techmap\murax.system_cpu.$procmux$2308
    parameter \WIDTH 2
    connect \A \murax.system_cpu.decode_to_execute_ALU_BITWISE_CTRL
    connect \B \murax.system_cpu._zz_17
    connect \S $techmap\murax.system_cpu.$procmux$2309_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2308_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2775"
  cell $mux $techmap\murax.system_cpu.$procmux$2310
    parameter \WIDTH 1
    connect \A \murax.system_cpu.decode_to_execute_CSR_READ_OPCODE
    connect \B \murax.system_cpu.decode_CSR_READ_OPCODE
    connect \S $techmap\murax.system_cpu.$procmux$2311_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2310_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2772"
  cell $mux $techmap\murax.system_cpu.$procmux$2312
    parameter \WIDTH 32
    connect \A \murax.system_cpu.execute_to_memory_REGFILE_WRITE_DATA
    connect \B \murax.system_cpu._zz_58
    connect \S $techmap\murax.system_cpu.$procmux$2313_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2312_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2769"
  cell $mux $techmap\murax.system_cpu.$procmux$2314
    parameter \WIDTH 1
    connect \A \murax.system_cpu.execute_to_memory_BYPASSABLE_MEMORY_STAGE
    connect \B \murax.system_cpu.execute_BYPASSABLE_MEMORY_STAGE
    connect \S $techmap\murax.system_cpu.$procmux$2315_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2314_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2766"
  cell $mux $techmap\murax.system_cpu.$procmux$2316
    parameter \WIDTH 1
    connect \A \murax.system_cpu.decode_to_execute_BYPASSABLE_MEMORY_STAGE
    connect \B \murax.system_cpu.decode_BYPASSABLE_MEMORY_STAGE
    connect \S $techmap\murax.system_cpu.$procmux$2317_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2316_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2763"
  cell $mux $techmap\murax.system_cpu.$procmux$2318
    parameter \WIDTH 1
    connect \A \murax.system_cpu.decode_to_execute_SRC_USE_SUB_LESS
    connect \B \murax.system_cpu.decode_SRC_USE_SUB_LESS
    connect \S $techmap\murax.system_cpu.$procmux$2319_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2318_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2760"
  cell $mux $techmap\murax.system_cpu.$procmux$2320
    parameter \WIDTH 1
    connect \A \murax.system_cpu.decode_to_execute_BYPASSABLE_EXECUTE_STAGE
    connect \B \murax.system_cpu.decode_BYPASSABLE_EXECUTE_STAGE
    connect \S $techmap\murax.system_cpu.$procmux$2321_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2320_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2757"
  cell $mux $techmap\murax.system_cpu.$procmux$2322
    parameter \WIDTH 1
    connect \A \murax.system_cpu.decode_to_execute_CSR_WRITE_OPCODE
    connect \B \murax.system_cpu.decode_CSR_WRITE_OPCODE
    connect \S $techmap\murax.system_cpu.$procmux$2323_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2322_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2754"
  cell $mux $techmap\murax.system_cpu.$procmux$2324
    parameter \WIDTH 32
    connect \A \murax.system_cpu.memory_to_writeBack_PC
    connect \B \murax.system_cpu.memory_PC
    connect \S $techmap\murax.system_cpu.$procmux$2325_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2324_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2751"
  cell $mux $techmap\murax.system_cpu.$procmux$2326
    parameter \WIDTH 32
    connect \A \murax.system_cpu.execute_to_memory_PC
    connect \B \murax.system_cpu.execute_PC
    connect \S $techmap\murax.system_cpu.$procmux$2327_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2326_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2748"
  cell $mux $techmap\murax.system_cpu.$procmux$2328
    parameter \WIDTH 32
    connect \A \murax.system_cpu.decode_to_execute_PC
    connect \B \murax.system_cpu._zz_26
    connect \S $techmap\murax.system_cpu.$procmux$2329_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2328_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2745"
  cell $mux $techmap\murax.system_cpu.$procmux$2330
    parameter \WIDTH 32
    connect \A \murax.system_cpu.fetch_to_decode_PC
    connect \B \murax.system_cpu.fetch_PC
    connect \S $techmap\murax.system_cpu.$procmux$2331_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2330_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2742"
  cell $mux $techmap\murax.system_cpu.$procmux$2332
    parameter \WIDTH 32
    connect \A \murax.system_cpu.prefetch_to_fetch_PC
    connect \B \murax.system_cpu._zz_69
    connect \S $techmap\murax.system_cpu.$procmux$2333_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2332_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2739"
  cell $mux $techmap\murax.system_cpu.$procmux$2334
    parameter \WIDTH 1
    connect \A \murax.system_cpu.decode_to_execute_IS_EBREAK
    connect \B \murax.system_cpu.decode_IS_EBREAK
    connect \S $techmap\murax.system_cpu.$procmux$2335_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2334_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2734"
  cell $mux $techmap\murax.system_cpu.$procmux$2336
    parameter \WIDTH 5
    connect \A \murax.system_cpu.execute_LightShifterPlugin_amplitudeReg
    connect \B $techmap\murax.system_cpu.$sub$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2735$573_Y
    connect \S $techmap\murax.system_cpu.$procmux$2337_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2336_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2733"
  cell $mux $techmap\murax.system_cpu.$procmux$2338
    parameter \WIDTH 5
    connect \A \murax.system_cpu.execute_LightShifterPlugin_amplitudeReg
    connect \B $techmap\murax.system_cpu.$procmux$2336_Y
    connect \S $techmap\murax.system_cpu.$procmux$2339_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2338_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2727"
  cell $mux $techmap\murax.system_cpu.$procmux$2340
    parameter \WIDTH 1
    connect \A \murax.system_cpu.execute_CsrPlugin_readDataRegValid
    connect \B 1'1
    connect \S $techmap\murax.system_cpu.$procmux$2341_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2340_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2730"
  cell $mux $techmap\murax.system_cpu.$procmux$2342
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$procmux$2340_Y
    connect \B 1'0
    connect \S $techmap\murax.system_cpu.$procmux$2343_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2342_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2714"
  cell $mux $techmap\murax.system_cpu.$procmux$2344
    parameter \WIDTH 64
    connect \A \murax.system_cpu.CsrPlugin_minstret
    connect \B $techmap\murax.system_cpu.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2715$569_Y
    connect \S $techmap\murax.system_cpu.$procmux$2345_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2344_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2723"
  cell $mux $techmap\murax.system_cpu.$procmux$2346
    parameter \WIDTH 32
    connect \A \murax.system_cpu.CsrPlugin_mbadaddr
    connect \B 0
    connect \S $techmap\murax.system_cpu.$procmux$2347_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2346_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2717"
  cell $mux $techmap\murax.system_cpu.$procmux$2348
    parameter \WIDTH 4
    connect \A \murax.system_cpu.CsrPlugin_mcause_exceptionCode
    connect \B $techmap\murax.system_cpu.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2720$571_Y
    connect \S $techmap\murax.system_cpu.$procmux$2349_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2348_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2723"
  cell $mux $techmap\murax.system_cpu.$procmux$2350
    parameter \WIDTH 4
    connect \A $techmap\murax.system_cpu.$procmux$2348_Y
    connect \B 4'0000
    connect \S $techmap\murax.system_cpu.$procmux$2351_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2350_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2717"
  cell $mux $techmap\murax.system_cpu.$procmux$2352
    parameter \WIDTH 1
    connect \A \murax.system_cpu.CsrPlugin_mcause_interrupt
    connect \B \murax.system_cpu.CsrPlugin_interrupt
    connect \S $techmap\murax.system_cpu.$procmux$2353_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2352_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2717"
  cell $mux $techmap\murax.system_cpu.$procmux$2354
    parameter \WIDTH 32
    connect \A \murax.system_cpu.CsrPlugin_mepc
    connect \B \murax.system_cpu._zz_89
    connect \S $techmap\murax.system_cpu.$procmux$2355_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2354_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2704"
  cell $mux $techmap\murax.system_cpu.$procmux$2356
    parameter \WIDTH 32
    connect \A \murax.system_cpu._zz_82
    connect \B \murax.system_cpu.iBus_rsp_inst
    connect \S $techmap\murax.system_cpu.$procmux$2357_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2356_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2875"
  cell $mux $techmap\murax.system_cpu.$procmux$2358
    parameter \WIDTH 1
    connect \A 1'0
    connect \B 1'1
    connect \S $techmap\murax.system_cpu.$procmux$2359_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2358_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2881"
  cell $mux $techmap\murax.system_cpu.$procmux$2360
    parameter \WIDTH 32
    connect \A \murax.system_cpu.DebugPlugin_busReadDataReg
    connect \B \murax.system_cpu._zz_62
    connect \S $techmap\murax.system_cpu.$procmux$2361_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2360_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2912"
  cell $mux $techmap\murax.system_cpu.$procmux$2362
    parameter \WIDTH 1
    connect \A \murax.system_cpu.DebugPlugin_haltedByBreak
    connect \B 1'0
    connect \S $techmap\murax.system_cpu.$procmux$2363_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2362_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2898"
  cell $mux $techmap\murax.system_cpu.$procmux$2364
    parameter \WIDTH 1
    connect \A \murax.system_cpu.DebugPlugin_haltedByBreak
    connect \B $techmap\murax.system_cpu.$procmux$2362_Y
    connect \S $techmap\murax.system_cpu.$procmux$2365_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2364_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2896"
  cell $mux $techmap\murax.system_cpu.$procmux$2366
    parameter \WIDTH 1
    connect \A \murax.system_cpu.DebugPlugin_haltedByBreak
    connect \B $techmap\murax.system_cpu.$procmux$2364_Y
    connect \S $techmap\murax.system_cpu.$procmux$2367_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2366_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2896"
  cell $eq $techmap\murax.system_cpu.$procmux$2367_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu._zz_143
    connect \B 1'0
    connect \Y $techmap\murax.system_cpu.$procmux$2367_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2895"
  cell $mux $techmap\murax.system_cpu.$procmux$2368
    parameter \WIDTH 1
    connect \A \murax.system_cpu.DebugPlugin_haltedByBreak
    connect \B $techmap\murax.system_cpu.$procmux$2366_Y
    connect \S $techmap\murax.system_cpu.$procmux$2369_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2368_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2921"
  cell $mux $techmap\murax.system_cpu.$procmux$2370
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$procmux$2368_Y
    connect \B 1'1
    connect \S $techmap\murax.system_cpu.$procmux$2371_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2370_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2898"
  cell $mux $techmap\murax.system_cpu.$procmux$2372
    parameter \WIDTH 1
    connect \A \murax.system_cpu.DebugPlugin_stepIt
    connect \B \murax.system_cpu.debug_bus_cmd_payload_data [4]
    connect \S $techmap\murax.system_cpu.$procmux$2373_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2372_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2896"
  cell $mux $techmap\murax.system_cpu.$procmux$2374
    parameter \WIDTH 1
    connect \A \murax.system_cpu.DebugPlugin_stepIt
    connect \B $techmap\murax.system_cpu.$procmux$2372_Y
    connect \S $techmap\murax.system_cpu.$procmux$2375_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2374_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2896"
  cell $eq $techmap\murax.system_cpu.$procmux$2375_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu._zz_143
    connect \B 1'0
    connect \Y $techmap\murax.system_cpu.$procmux$2375_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2895"
  cell $mux $techmap\murax.system_cpu.$procmux$2376
    parameter \WIDTH 1
    connect \A \murax.system_cpu.DebugPlugin_stepIt
    connect \B $techmap\murax.system_cpu.$procmux$2374_Y
    connect \S $techmap\murax.system_cpu.$procmux$2377_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2376_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2906"
  cell $mux $techmap\murax.system_cpu.$procmux$2378
    parameter \WIDTH 1
    connect \A \murax.system_cpu.DebugPlugin_haltIt
    connect \B 1'1
    connect \S $techmap\murax.system_cpu.$procmux$2379_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2378_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2909"
  cell $mux $techmap\murax.system_cpu.$procmux$2380
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$procmux$2378_Y
    connect \B 1'0
    connect \S $techmap\murax.system_cpu.$procmux$2381_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2380_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2898"
  cell $mux $techmap\murax.system_cpu.$procmux$2382
    parameter \WIDTH 1
    connect \A \murax.system_cpu.DebugPlugin_haltIt
    connect \B $techmap\murax.system_cpu.$procmux$2380_Y
    connect \S $techmap\murax.system_cpu.$procmux$2383_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2382_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2896"
  cell $mux $techmap\murax.system_cpu.$procmux$2384
    parameter \WIDTH 1
    connect \A \murax.system_cpu.DebugPlugin_haltIt
    connect \B $techmap\murax.system_cpu.$procmux$2382_Y
    connect \S $techmap\murax.system_cpu.$procmux$2385_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2384_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2896"
  cell $eq $techmap\murax.system_cpu.$procmux$2385_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu._zz_143
    connect \B 1'0
    connect \Y $techmap\murax.system_cpu.$procmux$2385_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2895"
  cell $mux $techmap\murax.system_cpu.$procmux$2386
    parameter \WIDTH 1
    connect \A \murax.system_cpu.DebugPlugin_haltIt
    connect \B $techmap\murax.system_cpu.$procmux$2384_Y
    connect \S $techmap\murax.system_cpu.$procmux$2387_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2386_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2921"
  cell $mux $techmap\murax.system_cpu.$procmux$2388
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$procmux$2386_Y
    connect \B 1'1
    connect \S $techmap\murax.system_cpu.$procmux$2389_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2388_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2925"
  cell $mux $techmap\murax.system_cpu.$procmux$2390
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$procmux$2388_Y
    connect \B 1'1
    connect \S $techmap\murax.system_cpu.$procmux$2391_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2390_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2928"
  cell $mux $techmap\murax.system_cpu.$procmux$2392
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$procmux$2390_Y
    connect \B 1'0
    connect \S $techmap\murax.system_cpu.$procmux$2393_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2392_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2900"
  cell $mux $techmap\murax.system_cpu.$procmux$2394
    parameter \WIDTH 1
    connect \A \murax.system_cpu.DebugPlugin_resetIt
    connect \B 1'1
    connect \S $techmap\murax.system_cpu.$procmux$2395_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2394_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2903"
  cell $mux $techmap\murax.system_cpu.$procmux$2396
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$procmux$2394_Y
    connect \B 1'0
    connect \S $techmap\murax.system_cpu.$procmux$2397_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2396_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2898"
  cell $mux $techmap\murax.system_cpu.$procmux$2398
    parameter \WIDTH 1
    connect \A \murax.system_cpu.DebugPlugin_resetIt
    connect \B $techmap\murax.system_cpu.$procmux$2396_Y
    connect \S $techmap\murax.system_cpu.$procmux$2399_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2398_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2896"
  cell $mux $techmap\murax.system_cpu.$procmux$2400
    parameter \WIDTH 1
    connect \A \murax.system_cpu.DebugPlugin_resetIt
    connect \B $techmap\murax.system_cpu.$procmux$2398_Y
    connect \S $techmap\murax.system_cpu.$procmux$2401_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2400_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2896"
  cell $eq $techmap\murax.system_cpu.$procmux$2401_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_cpu._zz_143
    connect \B 1'0
    connect \Y $techmap\murax.system_cpu.$procmux$2401_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2895"
  cell $mux $techmap\murax.system_cpu.$procmux$2402
    parameter \WIDTH 1
    connect \A \murax.system_cpu.DebugPlugin_resetIt
    connect \B $techmap\murax.system_cpu.$procmux$2400_Y
    connect \S $techmap\murax.system_cpu.$procmux$2403_CMP
    connect \Y $techmap\murax.system_cpu.$procmux$2402_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1983"
  cell $sshl $techmap\murax.system_cpu.$sshl$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1983$314
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 4
    connect \A \murax.system_cpu._zz_84
    connect \B \murax.system_cpu._zz_137 [1:0]
    connect \Y $techmap\murax.system_cpu.$sshl$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1983$314_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2322"
  cell $sshl $techmap\murax.system_cpu.$sshl$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2322$399
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 1
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 32
    connect \A \murax.system_cpu.execute_LightShifterPlugin_shiftInput
    connect \B 1
    connect \Y $techmap\murax.system_cpu.$sshl$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2322$399_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1505"
  cell $sshr $techmap\murax.system_cpu.$sshr$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1505$151
    parameter \A_SIGNED 0
    parameter \A_WIDTH 33
    parameter \B_SIGNED 1
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 33
    connect \A \murax.system_cpu._zz_176
    connect \B 1
    connect \Y $techmap\murax.system_cpu.$sshr$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1505$151_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1479"
  cell $sub $techmap\murax.system_cpu.$sub$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1479$143
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 2
    connect \A \murax.system_cpu._zz_79
    connect \B 2'01
    connect \Y $techmap\murax.system_cpu.$sub$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1479$143_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2735"
  cell $sub $techmap\murax.system_cpu.$sub$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2735$573
    parameter \A_SIGNED 0
    parameter \A_WIDTH 5
    parameter \B_SIGNED 0
    parameter \B_WIDTH 5
    parameter \Y_WIDTH 5
    connect \A \murax.system_cpu.execute_LightShifterPlugin_amplitude
    connect \B 5'00001
    connect \Y $techmap\murax.system_cpu.$sub$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2735$573_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1480"
  cell $mux $techmap\murax.system_cpu.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1480$145
    parameter \WIDTH 3
    connect \A 3'111
    connect \B 3'011
    connect \S $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1480$144_Y
    connect \Y $techmap\murax.system_cpu.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1480$145_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1500"
  cell $mux $techmap\murax.system_cpu.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1500$149
    parameter \WIDTH 32
    connect \A \murax.system_cpu.execute_SRC2
    connect \B $techmap\murax.system_cpu.$not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1500$148_Y
    connect \S \murax.system_cpu.execute_SRC_USE_SUB_LESS
    connect \Y $techmap\murax.system_cpu.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1500$149_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1501"
  cell $mux $techmap\murax.system_cpu.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1501$150
    parameter \WIDTH 2
    connect \A \murax.system_cpu._zz_174
    connect \B \murax.system_cpu._zz_173
    connect \S \murax.system_cpu.execute_SRC_USE_SUB_LESS
    connect \Y $techmap\murax.system_cpu.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1501$150_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1947"
  cell $mux $techmap\murax.system_cpu.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1947$304
    parameter \WIDTH 32
    connect \A \murax.system_cpu._zz_66
    connect \B \murax.system_cpu.decode_INSTRUCTION
    connect \S \murax.system_cpu.decode_arbitration_isStuck
    connect \Y $techmap\murax.system_cpu.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1947$304_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2087"
  cell $mux $techmap\murax.system_cpu.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2087$337
    parameter \WIDTH 32
    connect \A \murax.system_cpu.prefetch_PC_CALC_WITHOUT_JUMP
    connect \B \murax.system_cpu.writeBack_PC
    connect \S \murax.system_cpu.CsrPlugin_writeBackWasWfi
    connect \Y $techmap\murax.system_cpu.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2087$337_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2132"
  cell $mux $techmap\murax.system_cpu.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2132$350
    parameter \WIDTH 32
    connect \A \murax.system_cpu.execute_SRC1
    connect \B \murax.system_cpu._zz_153
    connect \S \murax.system_cpu.execute_INSTRUCTION [14]
    connect \Y $techmap\murax.system_cpu.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2132$350_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2139"
  cell $mux $techmap\murax.system_cpu.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2139$355
    parameter \WIDTH 32
    connect \A $techmap\murax.system_cpu.$or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2139$354_Y
    connect \B $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2139$353_Y
    connect \S \murax.system_cpu.execute_INSTRUCTION [12]
    connect \Y $techmap\murax.system_cpu.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2139$355_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2311"
  cell $mux $techmap\murax.system_cpu.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2311$392
    parameter \WIDTH 1
    connect \A \murax.system_cpu.execute_SRC1 [31]
    connect \B \murax.system_cpu.execute_SRC2 [31]
    connect \S \murax.system_cpu.execute_SRC_LESS_UNSIGNED
    connect \Y $techmap\murax.system_cpu.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2311$392_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2311"
  cell $mux $techmap\murax.system_cpu.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2311$393
    parameter \WIDTH 1
    connect \A $techmap\murax.system_cpu.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2311$392_Y
    connect \B \murax.system_cpu.execute_SrcPlugin_addSub [31]
    connect \S $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2311$391_Y
    connect \Y $techmap\murax.system_cpu.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2311$393_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2316"
  cell $mux $techmap\murax.system_cpu.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2316$395
    parameter \WIDTH 5
    connect \A \murax.system_cpu.execute_SRC2 [4:0]
    connect \B \murax.system_cpu.execute_LightShifterPlugin_amplitudeReg
    connect \S \murax.system_cpu.execute_LightShifterPlugin_isActive
    connect \Y $techmap\murax.system_cpu.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2316$395_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2317"
  cell $mux $techmap\murax.system_cpu.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2317$396
    parameter \WIDTH 32
    connect \A \murax.system_cpu.execute_SRC1
    connect \B \murax.system_cpu.memory_REGFILE_WRITE_DATA
    connect \S \murax.system_cpu.execute_LightShifterPlugin_isActive
    connect \Y $techmap\murax.system_cpu.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2317$396_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2411"
  cell $mux $techmap\murax.system_cpu.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2411$428
    parameter \WIDTH 32
    connect \A \murax.system_cpu.execute_PC
    connect \B \murax.system_cpu.execute_RS1
    connect \S $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2411$427_Y
    connect \Y $techmap\murax.system_cpu.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2411$428_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2490"
  cell $mux $techmap\murax.system_cpu.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2490$435
    parameter \WIDTH 1
    connect \A \murax.system_cpu.execute_BranchPlugin_branchAdder [0]
    connect \B 1'0
    connect \S $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2490$434_Y
    connect \Y $techmap\murax.system_cpu.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2490$435_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2720"
  cell $mux $techmap\murax.system_cpu.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2720$571
    parameter \WIDTH 4
    connect \A \murax.system_cpu._zz_151
    connect \B 4'1011
    connect \S $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2720$570_Y
    connect \Y $techmap\murax.system_cpu.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2720$571_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4232|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2206"
  cell $xor $techmap\murax.system_cpu.$xor$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2206$385
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 0
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 32
    connect \A \murax.system_cpu.execute_SRC1
    connect \B \murax.system_cpu.execute_SRC2
    connect \Y $techmap\murax.system_cpu.$xor$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2206$385_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4329|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3455"
  cell $logic_and $techmap\murax.system_gpioACtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3455$728
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_gpioACtrl.io_apb_PSEL
    connect \B \murax.system_gpioACtrl.io_apb_PENABLE
    connect \Y $techmap\murax.system_gpioACtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3455$728_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4329|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3455"
  cell $logic_and $techmap\murax.system_gpioACtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3455$729
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_gpioACtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3455$728_Y
    connect \B \murax.system_gpioACtrl.io_apb_PWRITE
    connect \Y $techmap\murax.system_gpioACtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3455$729_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4329|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3456"
  cell $logic_and $techmap\murax.system_gpioACtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3456$730
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_gpioACtrl.io_apb_PSEL
    connect \B \murax.system_gpioACtrl.io_apb_PENABLE
    connect \Y $techmap\murax.system_gpioACtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3456$730_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4329|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3456"
  cell $logic_and $techmap\murax.system_gpioACtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3456$732
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_gpioACtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3456$730_Y
    connect \B $techmap\murax.system_gpioACtrl.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3456$731_Y
    connect \Y $techmap\murax.system_gpioACtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3456$732_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4329|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3457"
  cell $logic_and $techmap\murax.system_gpioACtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3457$733
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_gpioACtrl.io_apb_PSEL
    connect \B \murax.system_gpioACtrl.io_apb_PENABLE
    connect \Y $techmap\murax.system_gpioACtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3457$733_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4329|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3457"
  cell $logic_and $techmap\murax.system_gpioACtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3457$734
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_gpioACtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3457$733_Y
    connect \B \murax.system_gpioACtrl._zz_3
    connect \Y $techmap\murax.system_gpioACtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3457$734_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4329|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3457"
  cell $logic_and $techmap\murax.system_gpioACtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3457$735
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_gpioACtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3457$734_Y
    connect \B \murax.system_gpioACtrl.io_apb_PWRITE
    connect \Y $techmap\murax.system_gpioACtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3457$735_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4329|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3458"
  cell $logic_and $techmap\murax.system_gpioACtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3458$736
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_gpioACtrl.io_apb_PSEL
    connect \B \murax.system_gpioACtrl.io_apb_PENABLE
    connect \Y $techmap\murax.system_gpioACtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3458$736_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4329|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3458"
  cell $logic_and $techmap\murax.system_gpioACtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3458$737
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_gpioACtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3458$736_Y
    connect \B \murax.system_gpioACtrl._zz_3
    connect \Y $techmap\murax.system_gpioACtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3458$737_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4329|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3458"
  cell $logic_and $techmap\murax.system_gpioACtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3458$739
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_gpioACtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3458$737_Y
    connect \B $techmap\murax.system_gpioACtrl.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3458$738_Y
    connect \Y $techmap\murax.system_gpioACtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3458$739_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4329|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3456"
  cell $logic_not $techmap\murax.system_gpioACtrl.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3456$731
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_gpioACtrl.io_apb_PWRITE
    connect \Y $techmap\murax.system_gpioACtrl.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3456$731_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4329|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3458"
  cell $logic_not $techmap\murax.system_gpioACtrl.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3458$738
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_gpioACtrl.io_apb_PWRITE
    connect \Y $techmap\murax.system_gpioACtrl.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3458$738_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4329|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3461"
  cell $adff $techmap\murax.system_gpioACtrl.$procdff$2404
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 0
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 32
    connect \ARST \murax.system_gpioACtrl.resetCtrl_systemReset
    connect \CLK \murax.system_gpioACtrl.io_mainClk
    connect \D $techmap\murax.system_gpioACtrl.$procmux$855_Y
    connect \Q \murax.system_gpioACtrl._zz_2
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4329|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3481"
  cell $dff $techmap\murax.system_gpioACtrl.$procdff$2405
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 32
    connect \CLK \murax.system_gpioACtrl.io_mainClk
    connect \D $techmap\murax.system_gpioACtrl.$procmux$859_Y
    connect \Q \murax.system_gpioACtrl._zz_1
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4329|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3439"
  cell $pmux $techmap\murax.system_gpioACtrl.$procmux$849
    parameter \S_WIDTH 3
    parameter \WIDTH 32
    connect \A 0
    connect \B { \murax.system_gpioACtrl.io_gpio_read \murax.system_gpioACtrl._zz_1 \murax.system_gpioACtrl._zz_2 }
    connect \S { $techmap\murax.system_gpioACtrl.$procmux$852_CMP $techmap\murax.system_gpioACtrl.$procmux$851_CMP $techmap\murax.system_gpioACtrl.$procmux$850_CMP }
    connect \Y $techmap\murax.system_gpioACtrl.$procmux$849_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4329|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3439"
  cell $eq $techmap\murax.system_gpioACtrl.$procmux$850_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 1
    connect \A \murax.system_gpioACtrl.io_apb_PADDR
    connect \B 4'1000
    connect \Y $techmap\murax.system_gpioACtrl.$procmux$850_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4329|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3439"
  cell $eq $techmap\murax.system_gpioACtrl.$procmux$851_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 1
    connect \A \murax.system_gpioACtrl.io_apb_PADDR
    connect \B 4'0100
    connect \Y $techmap\murax.system_gpioACtrl.$procmux$851_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4329|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3439"
  cell $eq $techmap\murax.system_gpioACtrl.$procmux$852_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 1
    connect \A \murax.system_gpioACtrl.io_apb_PADDR
    connect \B 4'0000
    connect \Y $techmap\murax.system_gpioACtrl.$procmux$852_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4329|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3471"
  cell $mux $techmap\murax.system_gpioACtrl.$procmux$853
    parameter \WIDTH 32
    connect \A \murax.system_gpioACtrl._zz_2
    connect \B \murax.system_gpioACtrl.io_apb_PWDATA
    connect \S $techmap\murax.system_gpioACtrl.$procmux$854_CMP
    connect \Y $techmap\murax.system_gpioACtrl.$procmux$853_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4329|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3465"
  cell $mux $techmap\murax.system_gpioACtrl.$procmux$855
    parameter \WIDTH 32
    connect \A \murax.system_gpioACtrl._zz_2
    connect \B $techmap\murax.system_gpioACtrl.$procmux$853_Y
    connect \S $techmap\murax.system_gpioACtrl.$procmux$856_CMP
    connect \Y $techmap\murax.system_gpioACtrl.$procmux$855_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4329|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3465"
  cell $eq $techmap\murax.system_gpioACtrl.$procmux$856_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 1
    connect \A \murax.system_gpioACtrl.io_apb_PADDR
    connect \B 4'1000
    connect \Y $techmap\murax.system_gpioACtrl.$procmux$856_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4329|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3486"
  cell $mux $techmap\murax.system_gpioACtrl.$procmux$857
    parameter \WIDTH 32
    connect \A \murax.system_gpioACtrl._zz_1
    connect \B \murax.system_gpioACtrl.io_apb_PWDATA
    connect \S $techmap\murax.system_gpioACtrl.$procmux$858_CMP
    connect \Y $techmap\murax.system_gpioACtrl.$procmux$857_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4329|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3482"
  cell $mux $techmap\murax.system_gpioACtrl.$procmux$859
    parameter \WIDTH 32
    connect \A \murax.system_gpioACtrl._zz_1
    connect \B $techmap\murax.system_gpioACtrl.$procmux$857_Y
    connect \S $techmap\murax.system_gpioACtrl.$procmux$860_CMP
    connect \Y $techmap\murax.system_gpioACtrl.$procmux$859_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4329|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3482"
  cell $eq $techmap\murax.system_gpioACtrl.$procmux$860_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 1
    connect \A \murax.system_gpioACtrl.io_apb_PADDR
    connect \B 4'0100
    connect \Y $techmap\murax.system_gpioACtrl.$procmux$860_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:848"
  cell $logic_and $techmap\murax.system_mainBusArbiter.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:848$118
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_mainBusArbiter.io_masterBus_cmd_ready
    connect \B $techmap\murax.system_mainBusArbiter.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:848$117_Y
    connect \Y $techmap\murax.system_mainBusArbiter.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:848$118_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:850"
  cell $logic_and $techmap\murax.system_mainBusArbiter.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:850$120
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_mainBusArbiter.rspPending
    connect \B $techmap\murax.system_mainBusArbiter.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:850$119_Y
    connect \Y $techmap\murax.system_mainBusArbiter.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:850$120_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:857"
  cell $logic_and $techmap\murax.system_mainBusArbiter.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:857$121
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_mainBusArbiter.io_dBus_cmd_valid
    connect \B \murax.system_mainBusArbiter.io_dBus_cmd_payload_wr
    connect \Y $techmap\murax.system_mainBusArbiter.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:857$121_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:875"
  cell $logic_and $techmap\murax.system_mainBusArbiter.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:875$126
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_mainBusArbiter.io_masterBus_rsp_valid
    connect \B $techmap\murax.system_mainBusArbiter.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:875$125_Y
    connect \Y $techmap\murax.system_mainBusArbiter.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:875$126_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:878"
  cell $logic_and $techmap\murax.system_mainBusArbiter.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:878$127
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_mainBusArbiter.io_masterBus_rsp_valid
    connect \B \murax.system_mainBusArbiter.rspTarget
    connect \Y $techmap\murax.system_mainBusArbiter.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:878$127_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:889"
  cell $logic_and $techmap\murax.system_mainBusArbiter.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:889$129
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_mainBusArbiter._zz_2
    connect \B \murax.system_mainBusArbiter.io_masterBus_cmd_ready
    connect \Y $techmap\murax.system_mainBusArbiter.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:889$129_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:889"
  cell $logic_and $techmap\murax.system_mainBusArbiter.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:889$131
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_mainBusArbiter.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:889$129_Y
    connect \B $techmap\murax.system_mainBusArbiter.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:889$130_Y
    connect \Y $techmap\murax.system_mainBusArbiter.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:889$131_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:848"
  cell $logic_not $techmap\murax.system_mainBusArbiter.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:848$117
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_mainBusArbiter.io_dBus_cmd_valid
    connect \Y $techmap\murax.system_mainBusArbiter.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:848$117_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:850"
  cell $logic_not $techmap\murax.system_mainBusArbiter.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:850$119
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_mainBusArbiter.io_masterBus_rsp_valid
    connect \Y $techmap\murax.system_mainBusArbiter.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:850$119_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:875"
  cell $logic_not $techmap\murax.system_mainBusArbiter.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:875$125
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_mainBusArbiter.rspTarget
    connect \Y $techmap\murax.system_mainBusArbiter.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:875$125_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:889"
  cell $logic_not $techmap\murax.system_mainBusArbiter.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:889$130
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_mainBusArbiter._zz_3
    connect \Y $techmap\murax.system_mainBusArbiter.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:889$130_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:847"
  cell $logic_or $techmap\murax.system_mainBusArbiter.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:847$116
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_mainBusArbiter.io_iBus_cmd_valid
    connect \B \murax.system_mainBusArbiter.io_dBus_cmd_valid
    connect \Y $techmap\murax.system_mainBusArbiter.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:847$116_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:881"
  cell $adff $techmap\murax.system_mainBusArbiter.$procdff$2466
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 1'0
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \ARST \murax.system_mainBusArbiter.resetCtrl_systemReset
    connect \CLK \murax.system_mainBusArbiter.io_mainClk
    connect \D $techmap\murax.system_mainBusArbiter.$procmux$1269_Y
    connect \Q \murax.system_mainBusArbiter.rspPending
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:881"
  cell $adff $techmap\murax.system_mainBusArbiter.$procdff$2467
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 1'0
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \ARST \murax.system_mainBusArbiter.resetCtrl_systemReset
    connect \CLK \murax.system_mainBusArbiter.io_mainClk
    connect \D $techmap\murax.system_mainBusArbiter.$procmux$1265_Y
    connect \Q \murax.system_mainBusArbiter.rspTarget
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:850"
  cell $mux $techmap\murax.system_mainBusArbiter.$procmux$1253
    parameter \WIDTH 1
    connect \A $techmap\murax.system_mainBusArbiter.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:847$116_Y
    connect \B 1'0
    connect \S $techmap\murax.system_mainBusArbiter.$procmux$1254_CMP
    connect \Y $techmap\murax.system_mainBusArbiter.$procmux$1253_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:850"
  cell $mux $techmap\murax.system_mainBusArbiter.$procmux$1256
    parameter \WIDTH 1
    connect \A \murax.system_mainBusArbiter.io_masterBus_cmd_ready
    connect \B 1'0
    connect \S $techmap\murax.system_mainBusArbiter.$procmux$1257_CMP
    connect \Y $techmap\murax.system_mainBusArbiter.$procmux$1256_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:850"
  cell $mux $techmap\murax.system_mainBusArbiter.$procmux$1259
    parameter \WIDTH 1
    connect \A $techmap\murax.system_mainBusArbiter.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:848$118_Y
    connect \B 1'0
    connect \S $techmap\murax.system_mainBusArbiter.$procmux$1260_CMP
    connect \Y $techmap\murax.system_mainBusArbiter.$procmux$1259_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:861"
  cell $pmux $techmap\murax.system_mainBusArbiter.$procmux$1262
    parameter \S_WIDTH 2
    parameter \WIDTH 4
    connect \A 4'1111
    connect \B 8'00010011
    connect \S { $techmap\murax.system_mainBusArbiter.$procmux$1264_CMP $techmap\murax.system_mainBusArbiter.$procmux$1263_CMP }
    connect \Y $techmap\murax.system_mainBusArbiter.$procmux$1262_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:861"
  cell $eq $techmap\murax.system_mainBusArbiter.$procmux$1263_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 1
    connect \A \murax.system_mainBusArbiter.io_dBus_cmd_payload_size
    connect \B 2'01
    connect \Y $techmap\murax.system_mainBusArbiter.$procmux$1263_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:861"
  cell $eq $techmap\murax.system_mainBusArbiter.$procmux$1264_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 1
    connect \A \murax.system_mainBusArbiter.io_dBus_cmd_payload_size
    connect \B 2'00
    connect \Y $techmap\murax.system_mainBusArbiter.$procmux$1264_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:889"
  cell $mux $techmap\murax.system_mainBusArbiter.$procmux$1265
    parameter \WIDTH 1
    connect \A \murax.system_mainBusArbiter.rspTarget
    connect \B \murax.system_mainBusArbiter.io_dBus_cmd_valid
    connect \S $techmap\murax.system_mainBusArbiter.$procmux$1266_CMP
    connect \Y $techmap\murax.system_mainBusArbiter.$procmux$1265_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:886"
  cell $mux $techmap\murax.system_mainBusArbiter.$procmux$1267
    parameter \WIDTH 1
    connect \A \murax.system_mainBusArbiter.rspPending
    connect \B 1'0
    connect \S $techmap\murax.system_mainBusArbiter.$procmux$1268_CMP
    connect \Y $techmap\murax.system_mainBusArbiter.$procmux$1267_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:889"
  cell $mux $techmap\murax.system_mainBusArbiter.$procmux$1269
    parameter \WIDTH 1
    connect \A $techmap\murax.system_mainBusArbiter.$procmux$1267_Y
    connect \B 1'1
    connect \S $techmap\murax.system_mainBusArbiter.$procmux$1270_CMP
    connect \Y $techmap\murax.system_mainBusArbiter.$procmux$1269_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:874"
  cell $sshl $techmap\murax.system_mainBusArbiter.$sshl$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:874$124
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 4
    connect \A \murax.system_mainBusArbiter._zz_1
    connect \B \murax.system_mainBusArbiter.io_dBus_cmd_payload_address [1:0]
    connect \Y $techmap\murax.system_mainBusArbiter.$sshl$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:874$124_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4205|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:858"
  cell $mux $techmap\murax.system_mainBusArbiter.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:858$122
    parameter \WIDTH 32
    connect \A \murax.system_mainBusArbiter.io_iBus_cmd_payload_pc
    connect \B \murax.system_mainBusArbiter.io_dBus_cmd_payload_address
    connect \S \murax.system_mainBusArbiter.io_dBus_cmd_valid
    connect \Y $techmap\murax.system_mainBusArbiter.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:858$122_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3275"
  cell $logic_and $techmap\murax.system_ram.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3275$692
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_ram.io_bus_cmd_payload_mask [0]
    connect \B \murax.system_ram.io_bus_cmd_valid
    connect \Y $techmap\murax.system_ram.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3275$692_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3275"
  cell $logic_and $techmap\murax.system_ram.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3275$693
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_ram.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3275$692_Y
    connect \B \murax.system_ram.io_bus_cmd_payload_wr
    connect \Y $techmap\murax.system_ram.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3275$693_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3278"
  cell $logic_and $techmap\murax.system_ram.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3278$694
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_ram.io_bus_cmd_payload_mask [1]
    connect \B \murax.system_ram.io_bus_cmd_valid
    connect \Y $techmap\murax.system_ram.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3278$694_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3278"
  cell $logic_and $techmap\murax.system_ram.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3278$695
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_ram.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3278$694_Y
    connect \B \murax.system_ram.io_bus_cmd_payload_wr
    connect \Y $techmap\murax.system_ram.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3278$695_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3281"
  cell $logic_and $techmap\murax.system_ram.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3281$696
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_ram.io_bus_cmd_payload_mask [2]
    connect \B \murax.system_ram.io_bus_cmd_valid
    connect \Y $techmap\murax.system_ram.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3281$696_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3281"
  cell $logic_and $techmap\murax.system_ram.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3281$697
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_ram.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3281$696_Y
    connect \B \murax.system_ram.io_bus_cmd_payload_wr
    connect \Y $techmap\murax.system_ram.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3281$697_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3284"
  cell $logic_and $techmap\murax.system_ram.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3284$698
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_ram.io_bus_cmd_payload_mask [3]
    connect \B \murax.system_ram.io_bus_cmd_valid
    connect \Y $techmap\murax.system_ram.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3284$698_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3284"
  cell $logic_and $techmap\murax.system_ram.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3284$699
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_ram.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3284$698_Y
    connect \B \murax.system_ram.io_bus_cmd_payload_wr
    connect \Y $techmap\murax.system_ram.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3284$699_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3304"
  cell $logic_and $techmap\murax.system_ram.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3304$706
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_ram.io_bus_cmd_valid
    connect \B \murax.system_ram._zz_5
    connect \Y $techmap\murax.system_ram.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3304$706_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3304"
  cell $logic_and $techmap\murax.system_ram.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3304$708
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_ram.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3304$706_Y
    connect \B $techmap\murax.system_ram.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3304$707_Y
    connect \Y $techmap\murax.system_ram.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3304$708_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3304"
  cell $logic_not $techmap\murax.system_ram.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3304$707
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_ram.io_bus_cmd_payload_wr
    connect \Y $techmap\murax.system_ram.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3304$707_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3266"
  cell $meminit $techmap\murax.system_ram.$meminit$\ram_symbol0$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3266$709
    parameter \ABITS 32
    parameter \MEMID "\\murax.system_ram.ram_symbol0"
    parameter \PRIORITY 709
    parameter \WIDTH 8
    parameter \WORDS 1024
    connect \ADDR 0
    connect \DATA 8192'00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100111000100110000001100010011111000111001001110000011101101110010001100010011101101110000001110110111011011110010001100010011100100111011011100100011000100111011011100000011101101110110001110010011100000111001001110110111000100110010001100010011011011110010001100110011101101110001001110010011100000111011011110010011100000111011011111100011100100111011011100000011001000111001001110000011011011110010001100100011101100110000001110110011100000110000001100100011101100110000001110000011001000110001001110110111001000110001001110110111001000111011011100100011000100111011011100100011000100111001001110110111001000110001001110010011101101110010001100010011001101111001001110110111001000110001001110010011101101110010001100010011001101111011011111101111000100111011011111101111001101111110111100010011101101110010001100100011100100110010001110010011001000111001001100010011001000110010001100010011011001110001001100000011000100110010001110000011001100111001001110000011100000110011001110010011100000111000001100010011100000111000001100100011100000110000001110000011001000110010001100010011001000110001001101100111000100110000001110000011000100110010001100000011100000111110001110010011111011110000001100010011001000110010001100010011001000110010001100010011011001110001001100000011000100111001001110000011100000110010001100010011001000110001001101100111000100110000001100010011100100111001001110000011100000110010001100010011001000110001001101100111000100110000001100010011001000110001001110000011001000111000001100100011000100110010001100010011011001110001001100000011000100110010001100010011001000110001001101100111000100110000001100010011001000111000001100100011100000110010001100010011001000110001001101101111111011110111001100010011001101110111001100010011001101110001001101101111000000111110011100100011000100111000001101100011100100111001011100010011000100110001011101101111000100110010001101100011100100111001011100010011000101110001001110010011100101110111001100010011100000110000001110000011000000111000001100000011100000110000001110000011000000111000001100000011100000110000001110000011100000111110111100010011001000110010001100100011001000110010001100100011001000110010001100100011001000110010001100100011001000110010001100100011001000110001001100010011000100110001001100010011000100110001001101101111
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3267"
  cell $meminit $techmap\murax.system_ram.$meminit$\ram_symbol1$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3267$710
    parameter \ABITS 32
    parameter \MEMID "\\murax.system_ram.ram_symbol1"
    parameter \PRIORITY 710
    parameter \WIDTH 8
    parameter \WORDS 1024
    connect \ADDR 0
    connect \DATA 8192'00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000010010010000000000100100001111011110100111000001111010000001110111000001111010011100000111000000001010000000000111100001110000011110100010010001110000011110100111000001111000000011110111101001111000011100000111000001000010011000000001111100001010001011100111000001111111011110000111101001110000011111110110101001110000011111110100100001111100011100100111001001001000011100100111000000000010010000100110000001110010011100000111001001110010011100100110000001110010011100100111101000000000011100000111101000100000011100000111101000100000011110100100000001110000011110100010000001111000011100000111101000000000011110000111000001111010000000000111000001111000011100000111101000100000011110000111000001111010000000000111001101110000011111110000100001010000011111110000000001011111000010000101000001110010011000101110000001110010000000000111001000100000011100000100001001000010011000000001100000000000000100100100000000001010011000100111011001111001011110100111001001110110011110010111101001110010011110000111101001110010011110100100001001111010011100100111001001000010011000000100001011100000000110000000000000010010010000100000000000001010000000100111001001111000101000000111111100000010010100000000001001000010011000000100001011000010111000000001100000000000000100100100100001011101011110100111001001110010011000000100001011100000000110000000000000010010010010000101111101111101011110100111001001110010011000000100001011100000000110000000000000010010010000000000101000000000011100100111101000100010011100100110000001000010111000000001100000000000000100100100000000000010011000000100001011100000000110000000000000010010010000000000101001000010011110100000001001110010011000000100001011100000000100000000000000000001000000000101001001010001000000000101000101010000000111110000001001011000000000100000000001010010011000001110100001010000010100000001000001010000010111110000000001010010000000001000100001010000010100000101000001011000000110000001000100010000000000000001001011110010111100101110001011100010100000101000001001110010011100100110001001100010010100100101001000110010001100100010001000000000000000000001001000000010001000100100001001100010100000101010001011000010111000100000001000100010010000100110001010000010101000101100001011100000000000000000000000000000000000000000000000000000000000000000
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3268"
  cell $meminit $techmap\murax.system_ram.$meminit$\ram_symbol2$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3268$711
    parameter \ABITS 32
    parameter \MEMID "\\murax.system_ram.ram_symbol2"
    parameter \PRIORITY 711
    parameter \WIDTH 8
    parameter \WORDS 1024
    connect \ADDR 0
    connect \DATA 8192'00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011100000100000000000001110000011101000111000000011110011111110111000000010000011100000001100000001110011100010000000001110000001011100111000001110000000001000111000000000000011100010111000001110000011100000010000000011000000100000001110111111110011111100110000000001111011100010111010001110000000000000111010001110000000011100111111101110000000010000100111101000001011110000100000000000000010011110100111101111100010011110111110001000000010011110100111101111100010001000100111001110001000000000001111001110010000000000001000001110000000011100111111100000000000011100111000100000000011100000010111001111111000000000111000000101110011100100111000000010000011100000010111001110111000000000111000000101110011111110111000000000000001001011111000001110000001000011111000000101001111100000111000000100000010011110100001100001111010000100000111101000001000000000001100000010001000100000001000000000000000111000001000000001110011111000100111101110000011110000111100001001111011110000111010001111000010011110111000001111000010011100111110001001100011110000100101101001010010000000001100000010000000100000000000000011000000111000001000000001110011110000100110001000000011100000101010111111100010000000000101101001010010000000001100000010001000100000001000000000000000111000001000001111000011101000111110001001010010000000001100000010000000100000000000000011100000100000111111101110000011101000111110001001010010000000001100000010000000100000000000000011100000100000000111001111111000011000100000001111100010010100100000000011000000100000001000000000000000111000001000000001010010000000001100000010000000100000000000000011100000100000000000001111100010000000111110001001010010000000001100000010000000100000000110000000000010110000101000000000100010100000101000000000100000100011111000000010000011010100001010001010000010110110101110001010000000011000001100001010000000001011111010001010000010110110101010001010000000011000101000000001000000110000001000000000010000000000001000000010100000110000001110000010000000101000001100000011100000100000001010000011000000111000001000000010100000110000001110000010100000000000001111100011110000111010001110000010001000100000001111100011110000111010001110000011011000110100001011100010110000101010001000100010000000000000000000000000000000000000000000000000000000000000000
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3269"
  cell $meminit $techmap\murax.system_ram.$meminit$\ram_symbol3$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3269$712
    parameter \ABITS 32
    parameter \MEMID "\\murax.system_ram.ram_symbol3"
    parameter \PRIORITY 712
    parameter \WIDTH 8
    parameter \WORDS 1024
    connect \ADDR 0
    connect \DATA 8192'00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000111111100010000000000000111100000000000000001111111100000000000011110000000000010000000000000000000000011111000000000000000010001111000000000000111100000000010000000000000000000000000111110000000000010000000011111111111110000000000000000000111100000000001100000000000000001111000011111100000000001111000011111110001101000000000011111110111111100000000011111110000000011111111011111110000000001111111000000000111111011111111011111110000000001111111011111110000000000000010011110000000000000000000011110000000000001111000000000000000011111111000000000000000000000000000111110000000000000000000000000001111100000000000000000000000000000000010011110000000000000011111000000100111100000000000011101101000000001111000011100010000001001111000011100110111100001110100000000001111100001111111011111100000000001111111000000000111111100000000000000011000000100000001011111101000000000000001000000001000000000000000011111110000000000000000100000000111111100000000000000000000000001111111011111111000000001111111000000000111111100000000011111110111111101111111000000010000000001111111000000000000000100000000100000001000000000000000011111110111111101111111000000000111110001111111000000000111111101111111000000010000000000000000011111110000000000000001000000001000000000000000100000000111111101111111000000010000000001111111000000000000000100000000100000000000011110000000100000000111111101111111000000010000000001111111000000000000000100000000100000000000000001111111111111110000000001111111011111110000000100000000011111110000000000000001000000001000000001111111000000010000000001111111000000000000000100000000100000000000000001111111000000000111111101111111000000010000000001111111000000000000110010011000010000000000000000011000010001000000000000000000011111110000000000000000000000000000000000000000000000000001110010000000011111111001110100000000011111111000000000000000000000000001111000000000000111100000000001010000010111101000000000011000000000100000000000000000000000000000000000000000100000001000000010000000100000010000000100000001000000010000000110000001100000011000000110011101011111100111111011111110111111101111111011111110111111101111111001111110011111110111111101111111011111110111111101111111011111110111111100000000000000000000000000000000000000000000000000000000000001011
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3288"
  cell $memrd $techmap\murax.system_ram.$memrd$\ram_symbol0$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3288$700
    parameter \ABITS 10
    parameter \CLK_ENABLE 0
    parameter \CLK_POLARITY 0
    parameter \MEMID "\\murax.system_ram.ram_symbol0"
    parameter \TRANSPARENT 0
    parameter \WIDTH 8
    connect \ADDR \murax.system_ram._zz_6
    connect \CLK 1'x
    connect \DATA $techmap\murax.system_ram.$memrd$\ram_symbol0$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3288$700_DATA
    connect \EN 1'x
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3289"
  cell $memrd $techmap\murax.system_ram.$memrd$\ram_symbol1$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3289$701
    parameter \ABITS 10
    parameter \CLK_ENABLE 0
    parameter \CLK_POLARITY 0
    parameter \MEMID "\\murax.system_ram.ram_symbol1"
    parameter \TRANSPARENT 0
    parameter \WIDTH 8
    connect \ADDR \murax.system_ram._zz_6
    connect \CLK 1'x
    connect \DATA $techmap\murax.system_ram.$memrd$\ram_symbol1$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3289$701_DATA
    connect \EN 1'x
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3290"
  cell $memrd $techmap\murax.system_ram.$memrd$\ram_symbol2$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3290$702
    parameter \ABITS 10
    parameter \CLK_ENABLE 0
    parameter \CLK_POLARITY 0
    parameter \MEMID "\\murax.system_ram.ram_symbol2"
    parameter \TRANSPARENT 0
    parameter \WIDTH 8
    connect \ADDR \murax.system_ram._zz_6
    connect \CLK 1'x
    connect \DATA $techmap\murax.system_ram.$memrd$\ram_symbol2$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3290$702_DATA
    connect \EN 1'x
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3291"
  cell $memrd $techmap\murax.system_ram.$memrd$\ram_symbol3$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3291$703
    parameter \ABITS 10
    parameter \CLK_ENABLE 0
    parameter \CLK_POLARITY 0
    parameter \MEMID "\\murax.system_ram.ram_symbol3"
    parameter \TRANSPARENT 0
    parameter \WIDTH 8
    connect \ADDR \murax.system_ram._zz_6
    connect \CLK 1'x
    connect \DATA $techmap\murax.system_ram.$memrd$\ram_symbol3$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3291$703_DATA
    connect \EN 1'x
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3276"
  cell $memwr $techmap\murax.system_ram.$memwr$\ram_symbol0$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3276$713
    parameter \ABITS 10
    parameter \CLK_ENABLE 0
    parameter \CLK_POLARITY 0
    parameter \MEMID "\\murax.system_ram.ram_symbol0"
    parameter \PRIORITY 713
    parameter \WIDTH 8
    connect \ADDR $techmap\murax.system_ram.$memwr$\ram_symbol0$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3276$674_ADDR
    connect \CLK 1'x
    connect \DATA $techmap\murax.system_ram.$memwr$\ram_symbol0$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3276$674_DATA
    connect \EN $techmap\murax.system_ram.$memwr$\ram_symbol0$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3276$674_EN
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3279"
  cell $memwr $techmap\murax.system_ram.$memwr$\ram_symbol1$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3279$714
    parameter \ABITS 10
    parameter \CLK_ENABLE 0
    parameter \CLK_POLARITY 0
    parameter \MEMID "\\murax.system_ram.ram_symbol1"
    parameter \PRIORITY 714
    parameter \WIDTH 8
    connect \ADDR $techmap\murax.system_ram.$memwr$\ram_symbol1$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3279$675_ADDR
    connect \CLK 1'x
    connect \DATA $techmap\murax.system_ram.$memwr$\ram_symbol1$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3279$675_DATA
    connect \EN $techmap\murax.system_ram.$memwr$\ram_symbol1$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3279$675_EN
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3282"
  cell $memwr $techmap\murax.system_ram.$memwr$\ram_symbol2$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3282$715
    parameter \ABITS 10
    parameter \CLK_ENABLE 0
    parameter \CLK_POLARITY 0
    parameter \MEMID "\\murax.system_ram.ram_symbol2"
    parameter \PRIORITY 715
    parameter \WIDTH 8
    connect \ADDR $techmap\murax.system_ram.$memwr$\ram_symbol2$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3282$676_ADDR
    connect \CLK 1'x
    connect \DATA $techmap\murax.system_ram.$memwr$\ram_symbol2$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3282$676_DATA
    connect \EN $techmap\murax.system_ram.$memwr$\ram_symbol2$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3282$676_EN
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3285"
  cell $memwr $techmap\murax.system_ram.$memwr$\ram_symbol3$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3285$716
    parameter \ABITS 10
    parameter \CLK_ENABLE 0
    parameter \CLK_POLARITY 0
    parameter \MEMID "\\murax.system_ram.ram_symbol3"
    parameter \PRIORITY 716
    parameter \WIDTH 8
    connect \ADDR $techmap\murax.system_ram.$memwr$\ram_symbol3$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3285$677_ADDR
    connect \CLK 1'x
    connect \DATA $techmap\murax.system_ram.$memwr$\ram_symbol3$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3285$677_DATA
    connect \EN $techmap\murax.system_ram.$memwr$\ram_symbol3$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3285$677_EN
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3274"
  cell $dff $techmap\murax.system_ram.$procdff$2468
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 8
    connect \CLK \murax.system_ram.io_mainClk
    connect \D $techmap\murax.system_ram.$procmux$1301_Y
    connect \Q \murax.system_ram._zz_7
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3274"
  cell $dff $techmap\murax.system_ram.$procdff$2469
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 8
    connect \CLK \murax.system_ram.io_mainClk
    connect \D $techmap\murax.system_ram.$procmux$1299_Y
    connect \Q \murax.system_ram._zz_8
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3274"
  cell $dff $techmap\murax.system_ram.$procdff$2470
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 8
    connect \CLK \murax.system_ram.io_mainClk
    connect \D $techmap\murax.system_ram.$procmux$1297_Y
    connect \Q \murax.system_ram._zz_9
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3274"
  cell $dff $techmap\murax.system_ram.$procdff$2471
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 8
    connect \CLK \murax.system_ram.io_mainClk
    connect \D $techmap\murax.system_ram.$procmux$1295_Y
    connect \Q \murax.system_ram._zz_10
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3274"
  cell $dff $techmap\murax.system_ram.$procdff$2472
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 10
    connect \CLK \murax.system_ram.io_mainClk
    connect \D $techmap\murax.system_ram.$procmux$1275_Y
    connect \Q $techmap\murax.system_ram.$memwr$\ram_symbol0$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3276$674_ADDR
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3274"
  cell $dff $techmap\murax.system_ram.$procdff$2473
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 8
    connect \CLK \murax.system_ram.io_mainClk
    connect \D $techmap\murax.system_ram.$procmux$1273_Y
    connect \Q $techmap\murax.system_ram.$memwr$\ram_symbol0$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3276$674_DATA
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3274"
  cell $dff $techmap\murax.system_ram.$procdff$2474
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 8
    connect \CLK \murax.system_ram.io_mainClk
    connect \D $techmap\murax.system_ram.$procmux$1271_Y
    connect \Q $techmap\murax.system_ram.$memwr$\ram_symbol0$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3276$674_EN
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3274"
  cell $dff $techmap\murax.system_ram.$procdff$2475
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 10
    connect \CLK \murax.system_ram.io_mainClk
    connect \D $techmap\murax.system_ram.$procmux$1281_Y
    connect \Q $techmap\murax.system_ram.$memwr$\ram_symbol1$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3279$675_ADDR
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3274"
  cell $dff $techmap\murax.system_ram.$procdff$2476
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 8
    connect \CLK \murax.system_ram.io_mainClk
    connect \D $techmap\murax.system_ram.$procmux$1279_Y
    connect \Q $techmap\murax.system_ram.$memwr$\ram_symbol1$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3279$675_DATA
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3274"
  cell $dff $techmap\murax.system_ram.$procdff$2477
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 8
    connect \CLK \murax.system_ram.io_mainClk
    connect \D $techmap\murax.system_ram.$procmux$1277_Y
    connect \Q $techmap\murax.system_ram.$memwr$\ram_symbol1$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3279$675_EN
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3274"
  cell $dff $techmap\murax.system_ram.$procdff$2478
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 10
    connect \CLK \murax.system_ram.io_mainClk
    connect \D $techmap\murax.system_ram.$procmux$1287_Y
    connect \Q $techmap\murax.system_ram.$memwr$\ram_symbol2$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3282$676_ADDR
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3274"
  cell $dff $techmap\murax.system_ram.$procdff$2479
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 8
    connect \CLK \murax.system_ram.io_mainClk
    connect \D $techmap\murax.system_ram.$procmux$1285_Y
    connect \Q $techmap\murax.system_ram.$memwr$\ram_symbol2$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3282$676_DATA
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3274"
  cell $dff $techmap\murax.system_ram.$procdff$2480
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 8
    connect \CLK \murax.system_ram.io_mainClk
    connect \D $techmap\murax.system_ram.$procmux$1283_Y
    connect \Q $techmap\murax.system_ram.$memwr$\ram_symbol2$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3282$676_EN
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3274"
  cell $dff $techmap\murax.system_ram.$procdff$2481
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 10
    connect \CLK \murax.system_ram.io_mainClk
    connect \D $techmap\murax.system_ram.$procmux$1293_Y
    connect \Q $techmap\murax.system_ram.$memwr$\ram_symbol3$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3285$677_ADDR
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3274"
  cell $dff $techmap\murax.system_ram.$procdff$2482
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 8
    connect \CLK \murax.system_ram.io_mainClk
    connect \D $techmap\murax.system_ram.$procmux$1291_Y
    connect \Q $techmap\murax.system_ram.$memwr$\ram_symbol3$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3285$677_DATA
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3274"
  cell $dff $techmap\murax.system_ram.$procdff$2483
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 8
    connect \CLK \murax.system_ram.io_mainClk
    connect \D $techmap\murax.system_ram.$procmux$1289_Y
    connect \Q $techmap\murax.system_ram.$memwr$\ram_symbol3$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3285$677_EN
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3300"
  cell $adff $techmap\murax.system_ram.$procdff$2484
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 1'0
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \ARST \murax.system_ram.resetCtrl_systemReset
    connect \CLK \murax.system_ram.io_mainClk
    connect \D $techmap\murax.system_ram.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3304$708_Y
    connect \Q \murax.system_ram._zz_1
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3275"
  cell $mux $techmap\murax.system_ram.$procmux$1271
    parameter \WIDTH 8
    connect \A 8'00000000
    connect \B 8'11111111
    connect \S $techmap\murax.system_ram.$procmux$1272_CMP
    connect \Y $techmap\murax.system_ram.$procmux$1271_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3275"
  cell $mux $techmap\murax.system_ram.$procmux$1273
    parameter \WIDTH 8
    connect \A 8'xxxxxxxx
    connect \B \murax.system_ram._zz_3 [7:0]
    connect \S $techmap\murax.system_ram.$procmux$1274_CMP
    connect \Y $techmap\murax.system_ram.$procmux$1273_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3275"
  cell $mux $techmap\murax.system_ram.$procmux$1275
    parameter \WIDTH 10
    connect \A 10'xxxxxxxxxx
    connect \B \murax.system_ram._zz_6
    connect \S $techmap\murax.system_ram.$procmux$1276_CMP
    connect \Y $techmap\murax.system_ram.$procmux$1275_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3278"
  cell $mux $techmap\murax.system_ram.$procmux$1277
    parameter \WIDTH 8
    connect \A 8'00000000
    connect \B 8'11111111
    connect \S $techmap\murax.system_ram.$procmux$1278_CMP
    connect \Y $techmap\murax.system_ram.$procmux$1277_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3278"
  cell $mux $techmap\murax.system_ram.$procmux$1279
    parameter \WIDTH 8
    connect \A 8'xxxxxxxx
    connect \B \murax.system_ram._zz_3 [15:8]
    connect \S $techmap\murax.system_ram.$procmux$1280_CMP
    connect \Y $techmap\murax.system_ram.$procmux$1279_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3278"
  cell $mux $techmap\murax.system_ram.$procmux$1281
    parameter \WIDTH 10
    connect \A 10'xxxxxxxxxx
    connect \B \murax.system_ram._zz_6
    connect \S $techmap\murax.system_ram.$procmux$1282_CMP
    connect \Y $techmap\murax.system_ram.$procmux$1281_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3281"
  cell $mux $techmap\murax.system_ram.$procmux$1283
    parameter \WIDTH 8
    connect \A 8'00000000
    connect \B 8'11111111
    connect \S $techmap\murax.system_ram.$procmux$1284_CMP
    connect \Y $techmap\murax.system_ram.$procmux$1283_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3281"
  cell $mux $techmap\murax.system_ram.$procmux$1285
    parameter \WIDTH 8
    connect \A 8'xxxxxxxx
    connect \B \murax.system_ram._zz_3 [23:16]
    connect \S $techmap\murax.system_ram.$procmux$1286_CMP
    connect \Y $techmap\murax.system_ram.$procmux$1285_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3281"
  cell $mux $techmap\murax.system_ram.$procmux$1287
    parameter \WIDTH 10
    connect \A 10'xxxxxxxxxx
    connect \B \murax.system_ram._zz_6
    connect \S $techmap\murax.system_ram.$procmux$1288_CMP
    connect \Y $techmap\murax.system_ram.$procmux$1287_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3284"
  cell $mux $techmap\murax.system_ram.$procmux$1289
    parameter \WIDTH 8
    connect \A 8'00000000
    connect \B 8'11111111
    connect \S $techmap\murax.system_ram.$procmux$1290_CMP
    connect \Y $techmap\murax.system_ram.$procmux$1289_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3284"
  cell $mux $techmap\murax.system_ram.$procmux$1291
    parameter \WIDTH 8
    connect \A 8'xxxxxxxx
    connect \B \murax.system_ram._zz_3 [31:24]
    connect \S $techmap\murax.system_ram.$procmux$1292_CMP
    connect \Y $techmap\murax.system_ram.$procmux$1291_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3284"
  cell $mux $techmap\murax.system_ram.$procmux$1293
    parameter \WIDTH 10
    connect \A 10'xxxxxxxxxx
    connect \B \murax.system_ram._zz_6
    connect \S $techmap\murax.system_ram.$procmux$1294_CMP
    connect \Y $techmap\murax.system_ram.$procmux$1293_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3287"
  cell $mux $techmap\murax.system_ram.$procmux$1295
    parameter \WIDTH 8
    connect \A \murax.system_ram._zz_10
    connect \B $techmap\murax.system_ram.$memrd$\ram_symbol3$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3291$703_DATA
    connect \S $techmap\murax.system_ram.$procmux$1296_CMP
    connect \Y $techmap\murax.system_ram.$procmux$1295_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3287"
  cell $mux $techmap\murax.system_ram.$procmux$1297
    parameter \WIDTH 8
    connect \A \murax.system_ram._zz_9
    connect \B $techmap\murax.system_ram.$memrd$\ram_symbol2$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3290$702_DATA
    connect \S $techmap\murax.system_ram.$procmux$1298_CMP
    connect \Y $techmap\murax.system_ram.$procmux$1297_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3287"
  cell $mux $techmap\murax.system_ram.$procmux$1299
    parameter \WIDTH 8
    connect \A \murax.system_ram._zz_8
    connect \B $techmap\murax.system_ram.$memrd$\ram_symbol1$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3289$701_DATA
    connect \S $techmap\murax.system_ram.$procmux$1300_CMP
    connect \Y $techmap\murax.system_ram.$procmux$1299_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3287"
  cell $mux $techmap\murax.system_ram.$procmux$1301
    parameter \WIDTH 8
    connect \A \murax.system_ram._zz_7
    connect \B $techmap\murax.system_ram.$memrd$\ram_symbol0$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3288$700_DATA
    connect \S $techmap\murax.system_ram.$procmux$1302_CMP
    connect \Y $techmap\murax.system_ram.$procmux$1301_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4297|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3296"
  cell $sshr $techmap\murax.system_ram.$sshr$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3296$704
    parameter \A_SIGNED 0
    parameter \A_WIDTH 32
    parameter \B_SIGNED 1
    parameter \B_WIDTH 32
    parameter \Y_WIDTH 32
    connect \A \murax.system_ram.io_bus_cmd_payload_address
    connect \B 2
    connect \Y $techmap\murax.system_ram.$sshr$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3296$704_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3829"
  cell $and $techmap\murax.system_timer.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3829$782
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_timer.timerABridge_clearsEnable
    connect \B \murax.system_timer._zz_18
    connect \Y $techmap\murax.system_timer.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3829$782_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3830"
  cell $and $techmap\murax.system_timer.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3830$785
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 2
    connect \A \murax.system_timer.timerABridge_ticksEnable
    connect \B { \murax.system_timer._zz_17 1'1 }
    connect \Y $techmap\murax.system_timer.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3830$785_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3841"
  cell $and $techmap\murax.system_timer.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3841$788
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_timer.timerBBridge_clearsEnable
    connect \B \murax.system_timer._zz_20
    connect \Y $techmap\murax.system_timer.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3841$788_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3842"
  cell $and $techmap\murax.system_timer.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3842$791
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 2
    connect \A \murax.system_timer.timerBBridge_ticksEnable
    connect \B { \murax.system_timer._zz_17 1'1 }
    connect \Y $techmap\murax.system_timer.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3842$791_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3815"
  cell $logic_and $techmap\murax.system_timer.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3815$769
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_timer.io_apb_PSEL
    connect \B \murax.system_timer.io_apb_PENABLE
    connect \Y $techmap\murax.system_timer.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3815$769_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3815"
  cell $logic_and $techmap\murax.system_timer.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3815$770
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_timer.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3815$769_Y
    connect \B \murax.system_timer.io_apb_PWRITE
    connect \Y $techmap\murax.system_timer.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3815$770_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3816"
  cell $logic_and $techmap\murax.system_timer.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3816$771
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_timer.io_apb_PSEL
    connect \B \murax.system_timer.io_apb_PENABLE
    connect \Y $techmap\murax.system_timer.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3816$771_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3816"
  cell $logic_and $techmap\murax.system_timer.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3816$773
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_timer.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3816$771_Y
    connect \B $techmap\murax.system_timer.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3816$772_Y
    connect \Y $techmap\murax.system_timer.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3816$773_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3817"
  cell $logic_and $techmap\murax.system_timer.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3817$774
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_timer.io_apb_PSEL
    connect \B \murax.system_timer.io_apb_PENABLE
    connect \Y $techmap\murax.system_timer.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3817$774_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3817"
  cell $logic_and $techmap\murax.system_timer.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3817$775
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_timer.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3817$774_Y
    connect \B \murax.system_timer._zz_16
    connect \Y $techmap\murax.system_timer.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3817$775_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3817"
  cell $logic_and $techmap\murax.system_timer.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3817$776
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_timer.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3817$775_Y
    connect \B \murax.system_timer.io_apb_PWRITE
    connect \Y $techmap\murax.system_timer.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3817$776_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3818"
  cell $logic_and $techmap\murax.system_timer.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3818$777
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_timer.io_apb_PSEL
    connect \B \murax.system_timer.io_apb_PENABLE
    connect \Y $techmap\murax.system_timer.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3818$777_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3818"
  cell $logic_and $techmap\murax.system_timer.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3818$778
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_timer.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3818$777_Y
    connect \B \murax.system_timer._zz_16
    connect \Y $techmap\murax.system_timer.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3818$778_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3818"
  cell $logic_and $techmap\murax.system_timer.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3818$780
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_timer.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3818$778_Y
    connect \B $techmap\murax.system_timer.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3818$779_Y
    connect \Y $techmap\murax.system_timer.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3818$780_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3816"
  cell $logic_not $techmap\murax.system_timer.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3816$772
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_timer.io_apb_PWRITE
    connect \Y $techmap\murax.system_timer.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3816$772_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3818"
  cell $logic_not $techmap\murax.system_timer.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3818$779
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_timer.io_apb_PWRITE
    connect \Y $techmap\murax.system_timer.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3818$779_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3829"
  cell $logic_or $techmap\murax.system_timer.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3829$784
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_timer.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3829$783_Y
    connect \B \murax.system_timer.timerABridge_busClearing
    connect \Y $techmap\murax.system_timer.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3829$784_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3841"
  cell $logic_or $techmap\murax.system_timer.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3841$790
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_timer.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3841$789_Y
    connect \B \murax.system_timer.timerBBridge_busClearing
    connect \Y $techmap\murax.system_timer.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3841$790_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3829"
  cell $ne $techmap\murax.system_timer.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3829$783
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_timer.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3829$782_Y
    connect \B 1'0
    connect \Y $techmap\murax.system_timer.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3829$783_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3830"
  cell $ne $techmap\murax.system_timer.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3830$786
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_timer.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3830$785_Y
    connect \B 2'00
    connect \Y $techmap\murax.system_timer.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3830$786_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3841"
  cell $ne $techmap\murax.system_timer.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3841$789
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_timer.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3841$788_Y
    connect \B 1'0
    connect \Y $techmap\murax.system_timer.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3841$789_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3842"
  cell $ne $techmap\murax.system_timer.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3842$792
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_timer.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3842$791_Y
    connect \B 2'00
    connect \Y $techmap\murax.system_timer.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3842$792_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3848"
  cell $ne $techmap\murax.system_timer.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3848$794
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 1
    connect \A \murax.system_timer._zz_22
    connect \B 2'00
    connect \Y $techmap\murax.system_timer.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3848$794_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3849"
  cell $adff $techmap\murax.system_timer.$procdff$2458
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 2'00
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 2
    connect \ARST \murax.system_timer.resetCtrl_systemReset
    connect \CLK \murax.system_timer.io_mainClk
    connect \D $techmap\murax.system_timer.$procmux$1235_Y
    connect \Q \murax.system_timer._zz_9
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3849"
  cell $adff $techmap\murax.system_timer.$procdff$2459
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 2'00
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 2
    connect \ARST \murax.system_timer.resetCtrl_systemReset
    connect \CLK \murax.system_timer.io_mainClk
    connect \D $techmap\murax.system_timer.$procmux$1231_Y
    connect \Q \murax.system_timer.timerABridge_ticksEnable
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3849"
  cell $adff $techmap\murax.system_timer.$procdff$2460
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 1'0
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \ARST \murax.system_timer.resetCtrl_systemReset
    connect \CLK \murax.system_timer.io_mainClk
    connect \D $techmap\murax.system_timer.$procmux$1225_Y
    connect \Q \murax.system_timer.timerABridge_clearsEnable
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3849"
  cell $adff $techmap\murax.system_timer.$procdff$2461
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 2'00
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 2
    connect \ARST \murax.system_timer.resetCtrl_systemReset
    connect \CLK \murax.system_timer.io_mainClk
    connect \D $techmap\murax.system_timer.$procmux$1219_Y
    connect \Q \murax.system_timer.timerBBridge_ticksEnable
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3849"
  cell $adff $techmap\murax.system_timer.$procdff$2462
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 1'0
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \ARST \murax.system_timer.resetCtrl_systemReset
    connect \CLK \murax.system_timer.io_mainClk
    connect \D $techmap\murax.system_timer.$procmux$1214_Y
    connect \Q \murax.system_timer.timerBBridge_clearsEnable
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3893"
  cell $dff $techmap\murax.system_timer.$procdff$2463
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 16
    connect \CLK \murax.system_timer.io_mainClk
    connect \D $techmap\murax.system_timer.$procmux$1250_Y
    connect \Q \murax.system_timer._zz_3
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3893"
  cell $dff $techmap\murax.system_timer.$procdff$2464
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 16
    connect \CLK \murax.system_timer.io_mainClk
    connect \D $techmap\murax.system_timer.$procmux$1245_Y
    connect \Q \murax.system_timer._zz_6
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3893"
  cell $dff $techmap\murax.system_timer.$procdff$2465
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 16
    connect \CLK \murax.system_timer.io_mainClk
    connect \D $techmap\murax.system_timer.$procmux$1241_Y
    connect \Q \murax.system_timer._zz_1
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3761"
  cell $pmux $techmap\murax.system_timer.$procmux$1072
    parameter \S_WIDTH 2
    parameter \WIDTH 1
    connect \A 1'0
    connect \B { \murax.system_timer.timerABridge_clearsEnable \murax.system_timer.timerBBridge_clearsEnable }
    connect \S { $techmap\murax.system_timer.$procmux$1074_CMP $techmap\murax.system_timer.$procmux$1073_CMP }
    connect \Y $techmap\murax.system_timer.$procmux$1072_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3761"
  cell $eq $techmap\murax.system_timer.$procmux$1073_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 8
    parameter \B_SIGNED 0
    parameter \B_WIDTH 8
    parameter \Y_WIDTH 1
    connect \A \murax.system_timer.io_apb_PADDR
    connect \B 8'01010000
    connect \Y $techmap\murax.system_timer.$procmux$1073_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3761"
  cell $eq $techmap\murax.system_timer.$procmux$1074_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 8
    parameter \B_SIGNED 0
    parameter \B_WIDTH 8
    parameter \Y_WIDTH 1
    connect \A \murax.system_timer.io_apb_PADDR
    connect \B 8'01000000
    connect \Y $techmap\murax.system_timer.$procmux$1074_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3761"
  cell $pmux $techmap\murax.system_timer.$procmux$1076
    parameter \S_WIDTH 9
    parameter \WIDTH 2
    connect \A 2'00
    connect \B { \murax.system_timer._zz_1 [1:0] \murax.system_timer.timerABridge_ticksEnable \murax.system_timer._zz_3 [1:0] \murax.system_timer._zz_19 [1:0] \murax.system_timer.timerBBridge_ticksEnable \murax.system_timer._zz_6 [1:0] \murax.system_timer._zz_21 [1:0] \murax.system_timer._zz_22 \murax.system_timer._zz_9 }
    connect \S { $techmap\murax.system_timer.$procmux$1085_CMP $techmap\murax.system_timer.$procmux$1084_CMP $techmap\murax.system_timer.$procmux$1083_CMP $techmap\murax.system_timer.$procmux$1082_CMP $techmap\murax.system_timer.$procmux$1081_CMP $techmap\murax.system_timer.$procmux$1080_CMP $techmap\murax.system_timer.$procmux$1079_CMP $techmap\murax.system_timer.$procmux$1078_CMP $techmap\murax.system_timer.$procmux$1077_CMP }
    connect \Y $techmap\murax.system_timer.$procmux$1076_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3761"
  cell $eq $techmap\murax.system_timer.$procmux$1077_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 8
    parameter \B_SIGNED 0
    parameter \B_WIDTH 8
    parameter \Y_WIDTH 1
    connect \A \murax.system_timer.io_apb_PADDR
    connect \B 8'00010100
    connect \Y $techmap\murax.system_timer.$procmux$1077_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3761"
  cell $eq $techmap\murax.system_timer.$procmux$1078_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 8
    parameter \B_SIGNED 0
    parameter \B_WIDTH 8
    parameter \Y_WIDTH 1
    connect \A \murax.system_timer.io_apb_PADDR
    connect \B 8'00010000
    connect \Y $techmap\murax.system_timer.$procmux$1078_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3761"
  cell $eq $techmap\murax.system_timer.$procmux$1079_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 8
    parameter \B_SIGNED 0
    parameter \B_WIDTH 8
    parameter \Y_WIDTH 1
    connect \A \murax.system_timer.io_apb_PADDR
    connect \B 8'01011000
    connect \Y $techmap\murax.system_timer.$procmux$1079_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3761"
  cell $eq $techmap\murax.system_timer.$procmux$1080_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 8
    parameter \B_SIGNED 0
    parameter \B_WIDTH 8
    parameter \Y_WIDTH 1
    connect \A \murax.system_timer.io_apb_PADDR
    connect \B 8'01010100
    connect \Y $techmap\murax.system_timer.$procmux$1080_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3761"
  cell $eq $techmap\murax.system_timer.$procmux$1081_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 8
    parameter \B_SIGNED 0
    parameter \B_WIDTH 8
    parameter \Y_WIDTH 1
    connect \A \murax.system_timer.io_apb_PADDR
    connect \B 8'01010000
    connect \Y $techmap\murax.system_timer.$procmux$1081_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3761"
  cell $eq $techmap\murax.system_timer.$procmux$1082_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 8
    parameter \B_SIGNED 0
    parameter \B_WIDTH 8
    parameter \Y_WIDTH 1
    connect \A \murax.system_timer.io_apb_PADDR
    connect \B 8'01001000
    connect \Y $techmap\murax.system_timer.$procmux$1082_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3761"
  cell $eq $techmap\murax.system_timer.$procmux$1083_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 8
    parameter \B_SIGNED 0
    parameter \B_WIDTH 8
    parameter \Y_WIDTH 1
    connect \A \murax.system_timer.io_apb_PADDR
    connect \B 8'01000100
    connect \Y $techmap\murax.system_timer.$procmux$1083_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3761"
  cell $eq $techmap\murax.system_timer.$procmux$1084_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 8
    parameter \B_SIGNED 0
    parameter \B_WIDTH 8
    parameter \Y_WIDTH 1
    connect \A \murax.system_timer.io_apb_PADDR
    connect \B 8'01000000
    connect \Y $techmap\murax.system_timer.$procmux$1084_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3761"
  cell $eq $techmap\murax.system_timer.$procmux$1085_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 8
    parameter \B_SIGNED 0
    parameter \B_WIDTH 8
    parameter \Y_WIDTH 1
    connect \A \murax.system_timer.io_apb_PADDR
    connect \B 8'00000000
    connect \Y $techmap\murax.system_timer.$procmux$1085_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3795"
  cell $mux $techmap\murax.system_timer.$procmux$1090
    parameter \WIDTH 1
    connect \A 1'0
    connect \B 1'1
    connect \S $techmap\murax.system_timer.$procmux$1091_CMP
    connect \Y $techmap\murax.system_timer.$procmux$1090_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3761"
  cell $mux $techmap\murax.system_timer.$procmux$1092
    parameter \WIDTH 1
    connect \A 1'x
    connect \B $techmap\murax.system_timer.$procmux$1090_Y
    connect \S $techmap\murax.system_timer.$procmux$1093_CMP
    connect \Y $techmap\murax.system_timer.$procmux$1092_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3761"
  cell $eq $techmap\murax.system_timer.$procmux$1093_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 8
    parameter \B_SIGNED 0
    parameter \B_WIDTH 8
    parameter \Y_WIDTH 1
    connect \A \murax.system_timer.io_apb_PADDR
    connect \B 8'01011000
    connect \Y $techmap\murax.system_timer.$procmux$1093_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3761"
  cell $pmux $techmap\murax.system_timer.$procmux$1097
    parameter \S_WIDTH 5
    parameter \WIDTH 14
    connect \A 14'00000000000000
    connect \B { \murax.system_timer._zz_1 [15:2] \murax.system_timer._zz_3 [15:2] \murax.system_timer._zz_19 [15:2] \murax.system_timer._zz_6 [15:2] \murax.system_timer._zz_21 [15:2] }
    connect \S { $techmap\murax.system_timer.$procmux$1102_CMP $techmap\murax.system_timer.$procmux$1101_CMP $techmap\murax.system_timer.$procmux$1100_CMP $techmap\murax.system_timer.$procmux$1099_CMP $techmap\murax.system_timer.$procmux$1098_CMP }
    connect \Y $techmap\murax.system_timer.$procmux$1097_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3761"
  cell $eq $techmap\murax.system_timer.$procmux$1098_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 8
    parameter \B_SIGNED 0
    parameter \B_WIDTH 8
    parameter \Y_WIDTH 1
    connect \A \murax.system_timer.io_apb_PADDR
    connect \B 8'01011000
    connect \Y $techmap\murax.system_timer.$procmux$1098_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3761"
  cell $eq $techmap\murax.system_timer.$procmux$1099_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 8
    parameter \B_SIGNED 0
    parameter \B_WIDTH 8
    parameter \Y_WIDTH 1
    connect \A \murax.system_timer.io_apb_PADDR
    connect \B 8'01010100
    connect \Y $techmap\murax.system_timer.$procmux$1099_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3761"
  cell $eq $techmap\murax.system_timer.$procmux$1100_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 8
    parameter \B_SIGNED 0
    parameter \B_WIDTH 8
    parameter \Y_WIDTH 1
    connect \A \murax.system_timer.io_apb_PADDR
    connect \B 8'01001000
    connect \Y $techmap\murax.system_timer.$procmux$1100_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3761"
  cell $eq $techmap\murax.system_timer.$procmux$1101_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 8
    parameter \B_SIGNED 0
    parameter \B_WIDTH 8
    parameter \Y_WIDTH 1
    connect \A \murax.system_timer.io_apb_PADDR
    connect \B 8'01000100
    connect \Y $techmap\murax.system_timer.$procmux$1101_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3761"
  cell $eq $techmap\murax.system_timer.$procmux$1102_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 8
    parameter \B_SIGNED 0
    parameter \B_WIDTH 8
    parameter \Y_WIDTH 1
    connect \A \murax.system_timer.io_apb_PADDR
    connect \B 8'00000000
    connect \Y $techmap\murax.system_timer.$procmux$1102_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3789"
  cell $mux $techmap\murax.system_timer.$procmux$1108
    parameter \WIDTH 1
    connect \A 1'0
    connect \B 1'1
    connect \S $techmap\murax.system_timer.$procmux$1109_CMP
    connect \Y $techmap\murax.system_timer.$procmux$1108_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3761"
  cell $mux $techmap\murax.system_timer.$procmux$1110
    parameter \WIDTH 1
    connect \A 1'x
    connect \B $techmap\murax.system_timer.$procmux$1108_Y
    connect \S $techmap\murax.system_timer.$procmux$1111_CMP
    connect \Y $techmap\murax.system_timer.$procmux$1110_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3761"
  cell $eq $techmap\murax.system_timer.$procmux$1111_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 8
    parameter \B_SIGNED 0
    parameter \B_WIDTH 8
    parameter \Y_WIDTH 1
    connect \A \murax.system_timer.io_apb_PADDR
    connect \B 8'01010100
    connect \Y $techmap\murax.system_timer.$procmux$1111_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3779"
  cell $mux $techmap\murax.system_timer.$procmux$1119
    parameter \WIDTH 1
    connect \A 1'0
    connect \B 1'1
    connect \S $techmap\murax.system_timer.$procmux$1120_CMP
    connect \Y $techmap\murax.system_timer.$procmux$1119_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3761"
  cell $mux $techmap\murax.system_timer.$procmux$1121
    parameter \WIDTH 1
    connect \A 1'x
    connect \B $techmap\murax.system_timer.$procmux$1119_Y
    connect \S $techmap\murax.system_timer.$procmux$1122_CMP
    connect \Y $techmap\murax.system_timer.$procmux$1121_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3761"
  cell $eq $techmap\murax.system_timer.$procmux$1122_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 8
    parameter \B_SIGNED 0
    parameter \B_WIDTH 8
    parameter \Y_WIDTH 1
    connect \A \murax.system_timer.io_apb_PADDR
    connect \B 8'01001000
    connect \Y $techmap\murax.system_timer.$procmux$1122_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3773"
  cell $mux $techmap\murax.system_timer.$procmux$1131
    parameter \WIDTH 1
    connect \A 1'0
    connect \B 1'1
    connect \S $techmap\murax.system_timer.$procmux$1132_CMP
    connect \Y $techmap\murax.system_timer.$procmux$1131_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3761"
  cell $mux $techmap\murax.system_timer.$procmux$1133
    parameter \WIDTH 1
    connect \A 1'x
    connect \B $techmap\murax.system_timer.$procmux$1131_Y
    connect \S $techmap\murax.system_timer.$procmux$1134_CMP
    connect \Y $techmap\murax.system_timer.$procmux$1133_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3761"
  cell $eq $techmap\murax.system_timer.$procmux$1134_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 8
    parameter \B_SIGNED 0
    parameter \B_WIDTH 8
    parameter \Y_WIDTH 1
    connect \A \murax.system_timer.io_apb_PADDR
    connect \B 8'01000100
    connect \Y $techmap\murax.system_timer.$procmux$1134_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3763"
  cell $mux $techmap\murax.system_timer.$procmux$1145
    parameter \WIDTH 1
    connect \A 1'0
    connect \B 1'1
    connect \S $techmap\murax.system_timer.$procmux$1146_CMP
    connect \Y $techmap\murax.system_timer.$procmux$1145_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3761"
  cell $mux $techmap\murax.system_timer.$procmux$1147
    parameter \WIDTH 1
    connect \A 1'x
    connect \B $techmap\murax.system_timer.$procmux$1145_Y
    connect \S $techmap\murax.system_timer.$procmux$1148_CMP
    connect \Y $techmap\murax.system_timer.$procmux$1147_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3761"
  cell $eq $techmap\murax.system_timer.$procmux$1148_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 8
    parameter \B_SIGNED 0
    parameter \B_WIDTH 8
    parameter \Y_WIDTH 1
    connect \A \murax.system_timer.io_apb_PADDR
    connect \B 8'00000000
    connect \Y $techmap\murax.system_timer.$procmux$1148_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3761"
  cell $mux $techmap\murax.system_timer.$procmux$1158
    parameter \WIDTH 1
    connect \A 1'0
    connect \B $techmap\murax.system_timer.$2\_zz_2[0:0]
    connect \S $techmap\murax.system_timer.$procmux$1159_CMP
    connect \Y $techmap\murax.system_timer.$procmux$1158_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3761"
  cell $eq $techmap\murax.system_timer.$procmux$1159_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 8
    parameter \B_SIGNED 0
    parameter \B_WIDTH 8
    parameter \Y_WIDTH 1
    connect \A \murax.system_timer.io_apb_PADDR
    connect \B 8'00000000
    connect \Y $techmap\murax.system_timer.$procmux$1159_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3801"
  cell $mux $techmap\murax.system_timer.$procmux$1163
    parameter \WIDTH 2
    connect \A 2'00
    connect \B \murax.system_timer.io_apb_PWDATA [1:0]
    connect \S $techmap\murax.system_timer.$procmux$1164_CMP
    connect \Y $techmap\murax.system_timer.$procmux$1163_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3761"
  cell $mux $techmap\murax.system_timer.$procmux$1165
    parameter \WIDTH 2
    connect \A 2'xx
    connect \B $techmap\murax.system_timer.$procmux$1163_Y
    connect \S $techmap\murax.system_timer.$procmux$1166_CMP
    connect \Y $techmap\murax.system_timer.$procmux$1165_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3761"
  cell $eq $techmap\murax.system_timer.$procmux$1166_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 8
    parameter \B_SIGNED 0
    parameter \B_WIDTH 8
    parameter \Y_WIDTH 1
    connect \A \murax.system_timer.io_apb_PADDR
    connect \B 8'00010000
    connect \Y $techmap\murax.system_timer.$procmux$1166_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3761"
  cell $mux $techmap\murax.system_timer.$procmux$1169
    parameter \WIDTH 2
    connect \A 2'00
    connect \B $techmap\murax.system_timer.$2\_zz_15[1:0]
    connect \S $techmap\murax.system_timer.$procmux$1170_CMP
    connect \Y $techmap\murax.system_timer.$procmux$1169_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3761"
  cell $eq $techmap\murax.system_timer.$procmux$1170_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 8
    parameter \B_SIGNED 0
    parameter \B_WIDTH 8
    parameter \Y_WIDTH 1
    connect \A \murax.system_timer.io_apb_PADDR
    connect \B 8'00010000
    connect \Y $techmap\murax.system_timer.$procmux$1170_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3761"
  cell $mux $techmap\murax.system_timer.$procmux$1174
    parameter \WIDTH 1
    connect \A 1'0
    connect \B $techmap\murax.system_timer.$2\_zz_8[0:0]
    connect \S $techmap\murax.system_timer.$procmux$1175_CMP
    connect \Y $techmap\murax.system_timer.$procmux$1174_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3761"
  cell $eq $techmap\murax.system_timer.$procmux$1175_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 8
    parameter \B_SIGNED 0
    parameter \B_WIDTH 8
    parameter \Y_WIDTH 1
    connect \A \murax.system_timer.io_apb_PADDR
    connect \B 8'01011000
    connect \Y $techmap\murax.system_timer.$procmux$1175_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3761"
  cell $mux $techmap\murax.system_timer.$procmux$1180
    parameter \WIDTH 1
    connect \A 1'0
    connect \B $techmap\murax.system_timer.$2\_zz_7[0:0]
    connect \S $techmap\murax.system_timer.$procmux$1181_CMP
    connect \Y $techmap\murax.system_timer.$procmux$1180_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3761"
  cell $eq $techmap\murax.system_timer.$procmux$1181_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 8
    parameter \B_SIGNED 0
    parameter \B_WIDTH 8
    parameter \Y_WIDTH 1
    connect \A \murax.system_timer.io_apb_PADDR
    connect \B 8'01010100
    connect \Y $techmap\murax.system_timer.$procmux$1181_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3761"
  cell $mux $techmap\murax.system_timer.$procmux$1188
    parameter \WIDTH 1
    connect \A 1'0
    connect \B $techmap\murax.system_timer.$2\_zz_5[0:0]
    connect \S $techmap\murax.system_timer.$procmux$1189_CMP
    connect \Y $techmap\murax.system_timer.$procmux$1188_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3761"
  cell $eq $techmap\murax.system_timer.$procmux$1189_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 8
    parameter \B_SIGNED 0
    parameter \B_WIDTH 8
    parameter \Y_WIDTH 1
    connect \A \murax.system_timer.io_apb_PADDR
    connect \B 8'01001000
    connect \Y $techmap\murax.system_timer.$procmux$1189_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3761"
  cell $mux $techmap\murax.system_timer.$procmux$1197
    parameter \WIDTH 1
    connect \A 1'0
    connect \B $techmap\murax.system_timer.$2\_zz_4[0:0]
    connect \S $techmap\murax.system_timer.$procmux$1198_CMP
    connect \Y $techmap\murax.system_timer.$procmux$1197_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3761"
  cell $eq $techmap\murax.system_timer.$procmux$1198_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 8
    parameter \B_SIGNED 0
    parameter \B_WIDTH 8
    parameter \Y_WIDTH 1
    connect \A \murax.system_timer.io_apb_PADDR
    connect \B 8'01000100
    connect \Y $techmap\murax.system_timer.$procmux$1198_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3824"
  cell $mux $techmap\murax.system_timer.$procmux$1200
    parameter \WIDTH 1
    connect \A $techmap\murax.system_timer.$1\timerABridge_busClearing[0:0]
    connect \B 1'1
    connect \S $techmap\murax.system_timer.$procmux$1201_CMP
    connect \Y $techmap\murax.system_timer.$procmux$1200_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3821"
  cell $mux $techmap\murax.system_timer.$procmux$1203
    parameter \WIDTH 1
    connect \A 1'0
    connect \B 1'1
    connect \S $techmap\murax.system_timer.$procmux$1204_CMP
    connect \Y $techmap\murax.system_timer.$procmux$1203_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3836"
  cell $mux $techmap\murax.system_timer.$procmux$1206
    parameter \WIDTH 1
    connect \A $techmap\murax.system_timer.$1\timerBBridge_busClearing[0:0]
    connect \B 1'1
    connect \S $techmap\murax.system_timer.$procmux$1207_CMP
    connect \Y $techmap\murax.system_timer.$procmux$1206_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3833"
  cell $mux $techmap\murax.system_timer.$procmux$1209
    parameter \WIDTH 1
    connect \A 1'0
    connect \B 1'1
    connect \S $techmap\murax.system_timer.$procmux$1210_CMP
    connect \Y $techmap\murax.system_timer.$procmux$1209_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3871"
  cell $mux $techmap\murax.system_timer.$procmux$1212
    parameter \WIDTH 1
    connect \A \murax.system_timer.timerBBridge_clearsEnable
    connect \B \murax.system_timer.io_apb_PWDATA [16]
    connect \S $techmap\murax.system_timer.$procmux$1213_CMP
    connect \Y $techmap\murax.system_timer.$procmux$1212_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3857"
  cell $mux $techmap\murax.system_timer.$procmux$1214
    parameter \WIDTH 1
    connect \A \murax.system_timer.timerBBridge_clearsEnable
    connect \B $techmap\murax.system_timer.$procmux$1212_Y
    connect \S $techmap\murax.system_timer.$procmux$1215_CMP
    connect \Y $techmap\murax.system_timer.$procmux$1214_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3857"
  cell $eq $techmap\murax.system_timer.$procmux$1215_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 8
    parameter \B_SIGNED 0
    parameter \B_WIDTH 8
    parameter \Y_WIDTH 1
    connect \A \murax.system_timer.io_apb_PADDR
    connect \B 8'01010000
    connect \Y $techmap\murax.system_timer.$procmux$1215_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3871"
  cell $mux $techmap\murax.system_timer.$procmux$1217
    parameter \WIDTH 2
    connect \A \murax.system_timer.timerBBridge_ticksEnable
    connect \B \murax.system_timer.io_apb_PWDATA [1:0]
    connect \S $techmap\murax.system_timer.$procmux$1218_CMP
    connect \Y $techmap\murax.system_timer.$procmux$1217_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3857"
  cell $mux $techmap\murax.system_timer.$procmux$1219
    parameter \WIDTH 2
    connect \A \murax.system_timer.timerBBridge_ticksEnable
    connect \B $techmap\murax.system_timer.$procmux$1217_Y
    connect \S $techmap\murax.system_timer.$procmux$1220_CMP
    connect \Y $techmap\murax.system_timer.$procmux$1219_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3857"
  cell $eq $techmap\murax.system_timer.$procmux$1220_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 8
    parameter \B_SIGNED 0
    parameter \B_WIDTH 8
    parameter \Y_WIDTH 1
    connect \A \murax.system_timer.io_apb_PADDR
    connect \B 8'01010000
    connect \Y $techmap\murax.system_timer.$procmux$1220_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3861"
  cell $mux $techmap\murax.system_timer.$procmux$1223
    parameter \WIDTH 1
    connect \A \murax.system_timer.timerABridge_clearsEnable
    connect \B \murax.system_timer.io_apb_PWDATA [16]
    connect \S $techmap\murax.system_timer.$procmux$1224_CMP
    connect \Y $techmap\murax.system_timer.$procmux$1223_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3857"
  cell $mux $techmap\murax.system_timer.$procmux$1225
    parameter \WIDTH 1
    connect \A \murax.system_timer.timerABridge_clearsEnable
    connect \B $techmap\murax.system_timer.$procmux$1223_Y
    connect \S $techmap\murax.system_timer.$procmux$1226_CMP
    connect \Y $techmap\murax.system_timer.$procmux$1225_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3857"
  cell $eq $techmap\murax.system_timer.$procmux$1226_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 8
    parameter \B_SIGNED 0
    parameter \B_WIDTH 8
    parameter \Y_WIDTH 1
    connect \A \murax.system_timer.io_apb_PADDR
    connect \B 8'01000000
    connect \Y $techmap\murax.system_timer.$procmux$1226_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3861"
  cell $mux $techmap\murax.system_timer.$procmux$1229
    parameter \WIDTH 2
    connect \A \murax.system_timer.timerABridge_ticksEnable
    connect \B \murax.system_timer.io_apb_PWDATA [1:0]
    connect \S $techmap\murax.system_timer.$procmux$1230_CMP
    connect \Y $techmap\murax.system_timer.$procmux$1229_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3857"
  cell $mux $techmap\murax.system_timer.$procmux$1231
    parameter \WIDTH 2
    connect \A \murax.system_timer.timerABridge_ticksEnable
    connect \B $techmap\murax.system_timer.$procmux$1229_Y
    connect \S $techmap\murax.system_timer.$procmux$1232_CMP
    connect \Y $techmap\murax.system_timer.$procmux$1231_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3857"
  cell $eq $techmap\murax.system_timer.$procmux$1232_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 8
    parameter \B_SIGNED 0
    parameter \B_WIDTH 8
    parameter \Y_WIDTH 1
    connect \A \murax.system_timer.io_apb_PADDR
    connect \B 8'01000000
    connect \Y $techmap\murax.system_timer.$procmux$1232_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3883"
  cell $mux $techmap\murax.system_timer.$procmux$1233
    parameter \WIDTH 2
    connect \A \murax.system_timer._zz_9
    connect \B \murax.system_timer.io_apb_PWDATA [1:0]
    connect \S $techmap\murax.system_timer.$procmux$1234_CMP
    connect \Y $techmap\murax.system_timer.$procmux$1233_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3857"
  cell $mux $techmap\murax.system_timer.$procmux$1235
    parameter \WIDTH 2
    connect \A \murax.system_timer._zz_9
    connect \B $techmap\murax.system_timer.$procmux$1233_Y
    connect \S $techmap\murax.system_timer.$procmux$1236_CMP
    connect \Y $techmap\murax.system_timer.$procmux$1235_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3857"
  cell $eq $techmap\murax.system_timer.$procmux$1236_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 8
    parameter \B_SIGNED 0
    parameter \B_WIDTH 8
    parameter \Y_WIDTH 1
    connect \A \murax.system_timer.io_apb_PADDR
    connect \B 8'00010100
    connect \Y $techmap\murax.system_timer.$procmux$1236_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3896"
  cell $mux $techmap\murax.system_timer.$procmux$1239
    parameter \WIDTH 16
    connect \A \murax.system_timer._zz_1
    connect \B \murax.system_timer.io_apb_PWDATA [15:0]
    connect \S $techmap\murax.system_timer.$procmux$1240_CMP
    connect \Y $techmap\murax.system_timer.$procmux$1239_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3894"
  cell $mux $techmap\murax.system_timer.$procmux$1241
    parameter \WIDTH 16
    connect \A \murax.system_timer._zz_1
    connect \B $techmap\murax.system_timer.$procmux$1239_Y
    connect \S $techmap\murax.system_timer.$procmux$1242_CMP
    connect \Y $techmap\murax.system_timer.$procmux$1241_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3894"
  cell $eq $techmap\murax.system_timer.$procmux$1242_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 8
    parameter \B_SIGNED 0
    parameter \B_WIDTH 8
    parameter \Y_WIDTH 1
    connect \A \murax.system_timer.io_apb_PADDR
    connect \B 8'00000000
    connect \Y $techmap\murax.system_timer.$procmux$1242_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3912"
  cell $mux $techmap\murax.system_timer.$procmux$1243
    parameter \WIDTH 16
    connect \A \murax.system_timer._zz_6
    connect \B \murax.system_timer.io_apb_PWDATA [15:0]
    connect \S $techmap\murax.system_timer.$procmux$1244_CMP
    connect \Y $techmap\murax.system_timer.$procmux$1243_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3894"
  cell $mux $techmap\murax.system_timer.$procmux$1245
    parameter \WIDTH 16
    connect \A \murax.system_timer._zz_6
    connect \B $techmap\murax.system_timer.$procmux$1243_Y
    connect \S $techmap\murax.system_timer.$procmux$1246_CMP
    connect \Y $techmap\murax.system_timer.$procmux$1245_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3894"
  cell $eq $techmap\murax.system_timer.$procmux$1246_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 8
    parameter \B_SIGNED 0
    parameter \B_WIDTH 8
    parameter \Y_WIDTH 1
    connect \A \murax.system_timer.io_apb_PADDR
    connect \B 8'01010100
    connect \Y $techmap\murax.system_timer.$procmux$1246_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3903"
  cell $mux $techmap\murax.system_timer.$procmux$1248
    parameter \WIDTH 16
    connect \A \murax.system_timer._zz_3
    connect \B \murax.system_timer.io_apb_PWDATA [15:0]
    connect \S $techmap\murax.system_timer.$procmux$1249_CMP
    connect \Y $techmap\murax.system_timer.$procmux$1248_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3894"
  cell $mux $techmap\murax.system_timer.$procmux$1250
    parameter \WIDTH 16
    connect \A \murax.system_timer._zz_3
    connect \B $techmap\murax.system_timer.$procmux$1248_Y
    connect \S $techmap\murax.system_timer.$procmux$1251_CMP
    connect \Y $techmap\murax.system_timer.$procmux$1250_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3894"
  cell $eq $techmap\murax.system_timer.$procmux$1251_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 8
    parameter \B_SIGNED 0
    parameter \B_WIDTH 8
    parameter \Y_WIDTH 1
    connect \A \murax.system_timer.io_apb_PADDR
    connect \B 8'01000100
    connect \Y $techmap\murax.system_timer.$procmux$1251_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3744|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:788"
  cell $and $techmap\murax.system_timer.interruptCtrl_1.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:788$109
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 2
    connect \A \murax.system_timer.interruptCtrl_1.pendings
    connect \B \murax.system_timer.interruptCtrl_1.io_masks
    connect \Y $techmap\murax.system_timer.interruptCtrl_1.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:788$109_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3744|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:793"
  cell $and $techmap\murax.system_timer.interruptCtrl_1.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:793$112
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 2
    connect \A \murax.system_timer.interruptCtrl_1.pendings
    connect \B $techmap\murax.system_timer.interruptCtrl_1.$not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:793$111_Y
    connect \Y $techmap\murax.system_timer.interruptCtrl_1.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:793$112_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3744|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:793"
  cell $not $techmap\murax.system_timer.interruptCtrl_1.$not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:793$111
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \Y_WIDTH 2
    connect \A \murax.system_timer.interruptCtrl_1.io_clears
    connect \Y $techmap\murax.system_timer.interruptCtrl_1.$not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:793$111_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3744|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:793"
  cell $or $techmap\murax.system_timer.interruptCtrl_1.$or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:793$113
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 2
    connect \A $techmap\murax.system_timer.interruptCtrl_1.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:793$112_Y
    connect \B \murax.system_timer.interruptCtrl_1.io_inputs
    connect \Y $techmap\murax.system_timer.interruptCtrl_1.$or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:793$113_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3744|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:789"
  cell $adff $techmap\murax.system_timer.interruptCtrl_1.$procdff$2434
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 2'00
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 2
    connect \ARST \murax.system_timer.interruptCtrl_1.resetCtrl_systemReset
    connect \CLK \murax.system_timer.interruptCtrl_1.io_mainClk
    connect \D $techmap\murax.system_timer.interruptCtrl_1.$or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:793$113_Y
    connect \Q \murax.system_timer.interruptCtrl_1.pendings
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3719|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:727"
  cell $add $techmap\murax.system_timer.prescaler_1.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:727$99
    parameter \A_SIGNED 0
    parameter \A_WIDTH 16
    parameter \B_SIGNED 0
    parameter \B_WIDTH 16
    parameter \Y_WIDTH 16
    connect \A \murax.system_timer.prescaler_1.counter
    connect \B 16'0000000000000001
    connect \Y $techmap\murax.system_timer.prescaler_1.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:727$99_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3719|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:725"
  cell $eq $techmap\murax.system_timer.prescaler_1.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:725$97
    parameter \A_SIGNED 0
    parameter \A_WIDTH 16
    parameter \B_SIGNED 0
    parameter \B_WIDTH 16
    parameter \Y_WIDTH 1
    connect \A \murax.system_timer.prescaler_1.counter
    connect \B \murax.system_timer.prescaler_1.io_limit
    connect \Y $techmap\murax.system_timer.prescaler_1.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:725$97_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3719|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:728"
  cell $logic_or $techmap\murax.system_timer.prescaler_1.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:728$100
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_timer.prescaler_1.io_clear
    connect \B \murax.system_timer.prescaler_1._zz_1
    connect \Y $techmap\murax.system_timer.prescaler_1.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:728$100_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3719|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:726"
  cell $dff $techmap\murax.system_timer.prescaler_1.$procdff$2494
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 16
    connect \CLK \murax.system_timer.prescaler_1.io_mainClk
    connect \D $techmap\murax.system_timer.prescaler_1.$procmux$1340_Y
    connect \Q \murax.system_timer.prescaler_1.counter
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3719|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:728"
  cell $mux $techmap\murax.system_timer.prescaler_1.$procmux$1340
    parameter \WIDTH 16
    connect \A $techmap\murax.system_timer.prescaler_1.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:727$99_Y
    connect \B 16'0000000000000000
    connect \S $techmap\murax.system_timer.prescaler_1.$procmux$1341_CMP
    connect \Y $techmap\murax.system_timer.prescaler_1.$procmux$1340_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3726|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:768"
  cell $add $techmap\murax.system_timer.timerA.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:768$108
    parameter \A_SIGNED 0
    parameter \A_WIDTH 16
    parameter \B_SIGNED 0
    parameter \B_WIDTH 16
    parameter \Y_WIDTH 16
    connect \A \murax.system_timer.timerA.counter
    connect \B \murax.system_timer.timerA._zz_2
    connect \Y $techmap\murax.system_timer.timerA.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:768$108_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3726|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:750"
  cell $eq $techmap\murax.system_timer.timerA.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:750$102
    parameter \A_SIGNED 0
    parameter \A_WIDTH 16
    parameter \B_SIGNED 0
    parameter \B_WIDTH 16
    parameter \Y_WIDTH 1
    connect \A \murax.system_timer.timerA.counter
    connect \B \murax.system_timer.timerA.io_limit
    connect \Y $techmap\murax.system_timer.timerA.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:750$102_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3726|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:751"
  cell $logic_and $techmap\murax.system_timer.timerA.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:751$103
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_timer.timerA.limitHit
    connect \B \murax.system_timer.timerA.io_tick
    connect \Y $techmap\murax.system_timer.timerA.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:751$103_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3726|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:751"
  cell $logic_and $techmap\murax.system_timer.timerA.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:751$105
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_timer.timerA.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:751$103_Y
    connect \B $techmap\murax.system_timer.timerA.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:751$104_Y
    connect \Y $techmap\murax.system_timer.timerA.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:751$105_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3726|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:748"
  cell $logic_not $techmap\murax.system_timer.timerA.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:748$101
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_timer.timerA.limitHit
    connect \Y $techmap\murax.system_timer.timerA.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:748$101_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3726|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:751"
  cell $logic_not $techmap\murax.system_timer.timerA.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:751$104
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_timer.timerA.inhibitFull
    connect \Y $techmap\murax.system_timer.timerA.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:751$104_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3726|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:753"
  cell $adff $techmap\murax.system_timer.timerA.$procdff$2508
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 1'0
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \ARST \murax.system_timer.timerA.resetCtrl_systemReset
    connect \CLK \murax.system_timer.timerA.io_mainClk
    connect \D $techmap\murax.system_timer.timerA.$procmux$1409_Y
    connect \Q \murax.system_timer.timerA.inhibitFull
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3726|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:766"
  cell $dff $techmap\murax.system_timer.timerA.$procdff$2509
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 16
    connect \CLK \murax.system_timer.timerA.io_mainClk
    connect \D $techmap\murax.system_timer.timerA.$procmux$1413_Y
    connect \Q \murax.system_timer.timerA.counter
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3726|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:757"
  cell $mux $techmap\murax.system_timer.timerA.$procmux$1407
    parameter \WIDTH 1
    connect \A \murax.system_timer.timerA.inhibitFull
    connect \B \murax.system_timer.timerA.limitHit
    connect \S $techmap\murax.system_timer.timerA.$procmux$1408_CMP
    connect \Y $techmap\murax.system_timer.timerA.$procmux$1407_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3726|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:760"
  cell $mux $techmap\murax.system_timer.timerA.$procmux$1409
    parameter \WIDTH 1
    connect \A $techmap\murax.system_timer.timerA.$procmux$1407_Y
    connect \B 1'0
    connect \S $techmap\murax.system_timer.timerA.$procmux$1410_CMP
    connect \Y $techmap\murax.system_timer.timerA.$procmux$1409_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3726|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:767"
  cell $mux $techmap\murax.system_timer.timerA.$procmux$1411
    parameter \WIDTH 16
    connect \A \murax.system_timer.timerA.counter
    connect \B $techmap\murax.system_timer.timerA.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:768$108_Y
    connect \S $techmap\murax.system_timer.timerA.$procmux$1412_CMP
    connect \Y $techmap\murax.system_timer.timerA.$procmux$1411_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3726|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:770"
  cell $mux $techmap\murax.system_timer.timerA.$procmux$1413
    parameter \WIDTH 16
    connect \A $techmap\murax.system_timer.timerA.$procmux$1411_Y
    connect \B 16'0000000000000000
    connect \S $techmap\murax.system_timer.timerA.$procmux$1414_CMP
    connect \Y $techmap\murax.system_timer.timerA.$procmux$1413_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3735|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:768"
  cell $add $techmap\murax.system_timer.timerB.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:768$108
    parameter \A_SIGNED 0
    parameter \A_WIDTH 16
    parameter \B_SIGNED 0
    parameter \B_WIDTH 16
    parameter \Y_WIDTH 16
    connect \A \murax.system_timer.timerB.counter
    connect \B \murax.system_timer.timerB._zz_2
    connect \Y $techmap\murax.system_timer.timerB.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:768$108_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3735|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:750"
  cell $eq $techmap\murax.system_timer.timerB.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:750$102
    parameter \A_SIGNED 0
    parameter \A_WIDTH 16
    parameter \B_SIGNED 0
    parameter \B_WIDTH 16
    parameter \Y_WIDTH 1
    connect \A \murax.system_timer.timerB.counter
    connect \B \murax.system_timer.timerB.io_limit
    connect \Y $techmap\murax.system_timer.timerB.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:750$102_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3735|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:751"
  cell $logic_and $techmap\murax.system_timer.timerB.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:751$103
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_timer.timerB.limitHit
    connect \B \murax.system_timer.timerB.io_tick
    connect \Y $techmap\murax.system_timer.timerB.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:751$103_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3735|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:751"
  cell $logic_and $techmap\murax.system_timer.timerB.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:751$105
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_timer.timerB.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:751$103_Y
    connect \B $techmap\murax.system_timer.timerB.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:751$104_Y
    connect \Y $techmap\murax.system_timer.timerB.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:751$105_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3735|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:748"
  cell $logic_not $techmap\murax.system_timer.timerB.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:748$101
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_timer.timerB.limitHit
    connect \Y $techmap\murax.system_timer.timerB.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:748$101_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3735|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:751"
  cell $logic_not $techmap\murax.system_timer.timerB.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:751$104
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_timer.timerB.inhibitFull
    connect \Y $techmap\murax.system_timer.timerB.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:751$104_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3735|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:753"
  cell $adff $techmap\murax.system_timer.timerB.$procdff$2508
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 1'0
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \ARST \murax.system_timer.timerB.resetCtrl_systemReset
    connect \CLK \murax.system_timer.timerB.io_mainClk
    connect \D $techmap\murax.system_timer.timerB.$procmux$1409_Y
    connect \Q \murax.system_timer.timerB.inhibitFull
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3735|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:766"
  cell $dff $techmap\murax.system_timer.timerB.$procdff$2509
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 16
    connect \CLK \murax.system_timer.timerB.io_mainClk
    connect \D $techmap\murax.system_timer.timerB.$procmux$1413_Y
    connect \Q \murax.system_timer.timerB.counter
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3735|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:757"
  cell $mux $techmap\murax.system_timer.timerB.$procmux$1407
    parameter \WIDTH 1
    connect \A \murax.system_timer.timerB.inhibitFull
    connect \B \murax.system_timer.timerB.limitHit
    connect \S $techmap\murax.system_timer.timerB.$procmux$1408_CMP
    connect \Y $techmap\murax.system_timer.timerB.$procmux$1407_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3735|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:760"
  cell $mux $techmap\murax.system_timer.timerB.$procmux$1409
    parameter \WIDTH 1
    connect \A $techmap\murax.system_timer.timerB.$procmux$1407_Y
    connect \B 1'0
    connect \S $techmap\murax.system_timer.timerB.$procmux$1410_CMP
    connect \Y $techmap\murax.system_timer.timerB.$procmux$1409_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3735|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:767"
  cell $mux $techmap\murax.system_timer.timerB.$procmux$1411
    parameter \WIDTH 16
    connect \A \murax.system_timer.timerB.counter
    connect \B $techmap\murax.system_timer.timerB.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:768$108_Y
    connect \S $techmap\murax.system_timer.timerB.$procmux$1412_CMP
    connect \Y $techmap\murax.system_timer.timerB.$procmux$1411_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4358|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3735|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:770"
  cell $mux $techmap\murax.system_timer.timerB.$procmux$1413
    parameter \WIDTH 16
    connect \A $techmap\murax.system_timer.timerB.$procmux$1411_Y
    connect \B 16'0000000000000000
    connect \S $techmap\murax.system_timer.timerB.$procmux$1414_CMP
    connect \Y $techmap\murax.system_timer.timerB.$procmux$1413_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3633"
  cell $logic_and $techmap\murax.system_uartCtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3633$746
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.io_apb_PSEL
    connect \B \murax.system_uartCtrl.io_apb_PENABLE
    connect \Y $techmap\murax.system_uartCtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3633$746_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3633"
  cell $logic_and $techmap\murax.system_uartCtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3633$747
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_uartCtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3633$746_Y
    connect \B \murax.system_uartCtrl.io_apb_PWRITE
    connect \Y $techmap\murax.system_uartCtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3633$747_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3634"
  cell $logic_and $techmap\murax.system_uartCtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3634$748
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.io_apb_PSEL
    connect \B \murax.system_uartCtrl.io_apb_PENABLE
    connect \Y $techmap\murax.system_uartCtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3634$748_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3634"
  cell $logic_and $techmap\murax.system_uartCtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3634$750
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_uartCtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3634$748_Y
    connect \B $techmap\murax.system_uartCtrl.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3634$749_Y
    connect \Y $techmap\murax.system_uartCtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3634$750_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3635"
  cell $logic_and $techmap\murax.system_uartCtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3635$751
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.io_apb_PSEL
    connect \B \murax.system_uartCtrl.io_apb_PENABLE
    connect \Y $techmap\murax.system_uartCtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3635$751_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3635"
  cell $logic_and $techmap\murax.system_uartCtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3635$752
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_uartCtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3635$751_Y
    connect \B \murax.system_uartCtrl._zz_6
    connect \Y $techmap\murax.system_uartCtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3635$752_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3635"
  cell $logic_and $techmap\murax.system_uartCtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3635$753
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_uartCtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3635$752_Y
    connect \B \murax.system_uartCtrl.io_apb_PWRITE
    connect \Y $techmap\murax.system_uartCtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3635$753_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3636"
  cell $logic_and $techmap\murax.system_uartCtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3636$754
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.io_apb_PSEL
    connect \B \murax.system_uartCtrl.io_apb_PENABLE
    connect \Y $techmap\murax.system_uartCtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3636$754_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3636"
  cell $logic_and $techmap\murax.system_uartCtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3636$755
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_uartCtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3636$754_Y
    connect \B \murax.system_uartCtrl._zz_6
    connect \Y $techmap\murax.system_uartCtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3636$755_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3636"
  cell $logic_and $techmap\murax.system_uartCtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3636$757
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_uartCtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3636$755_Y
    connect \B $techmap\murax.system_uartCtrl.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3636$756_Y
    connect \Y $techmap\murax.system_uartCtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3636$757_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3645"
  cell $logic_and $techmap\murax.system_uartCtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3645$759
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.bridge_interruptCtrl_readIntEnable
    connect \B \murax.system_uartCtrl._zz_17
    connect \Y $techmap\murax.system_uartCtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3645$759_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3646"
  cell $logic_and $techmap\murax.system_uartCtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3646$761
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.bridge_interruptCtrl_writeIntEnable
    connect \B $techmap\murax.system_uartCtrl.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3646$760_Y
    connect \Y $techmap\murax.system_uartCtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3646$761_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3634"
  cell $logic_not $techmap\murax.system_uartCtrl.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3634$749
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.io_apb_PWRITE
    connect \Y $techmap\murax.system_uartCtrl.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3634$749_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3636"
  cell $logic_not $techmap\murax.system_uartCtrl.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3636$756
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.io_apb_PWRITE
    connect \Y $techmap\murax.system_uartCtrl.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3636$756_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3646"
  cell $logic_not $techmap\murax.system_uartCtrl.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3646$760
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl._zz_12
    connect \Y $techmap\murax.system_uartCtrl.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3646$760_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3647"
  cell $logic_or $techmap\murax.system_uartCtrl.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3647$762
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.bridge_interruptCtrl_readInt
    connect \B \murax.system_uartCtrl.bridge_interruptCtrl_writeInt
    connect \Y $techmap\murax.system_uartCtrl.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3647$762_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3652"
  cell $adff $techmap\murax.system_uartCtrl.$procdff$2407
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 1'0
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \ARST \murax.system_uartCtrl.resetCtrl_systemReset
    connect \CLK \murax.system_uartCtrl.io_mainClk
    connect \D $techmap\murax.system_uartCtrl.$procmux$929_Y
    connect \Q \murax.system_uartCtrl.bridge_interruptCtrl_writeIntEnable
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3652"
  cell $adff $techmap\murax.system_uartCtrl.$procdff$2408
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 1'0
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \ARST \murax.system_uartCtrl.resetCtrl_systemReset
    connect \CLK \murax.system_uartCtrl.io_mainClk
    connect \D $techmap\murax.system_uartCtrl.$procmux$925_Y
    connect \Q \murax.system_uartCtrl.bridge_interruptCtrl_readIntEnable
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3609"
  cell $mux $techmap\murax.system_uartCtrl.$procmux$874
    parameter \WIDTH 4
    connect \A 4'0000
    connect \B \murax.system_uartCtrl._zz_23 [4:1]
    connect \S $techmap\murax.system_uartCtrl.$procmux$875_CMP
    connect \Y $techmap\murax.system_uartCtrl.$procmux$874_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3609"
  cell $eq $techmap\murax.system_uartCtrl.$procmux$875_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.io_apb_PADDR
    connect \B 4'0100
    connect \Y $techmap\murax.system_uartCtrl.$procmux$875_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3609"
  cell $mux $techmap\murax.system_uartCtrl.$procmux$878
    parameter \WIDTH 6
    connect \A 6'000000
    connect \B \murax.system_uartCtrl._zz_18 [7:2]
    connect \S $techmap\murax.system_uartCtrl.$procmux$879_CMP
    connect \Y $techmap\murax.system_uartCtrl.$procmux$878_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3609"
  cell $eq $techmap\murax.system_uartCtrl.$procmux$879_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.io_apb_PADDR
    connect \B 4'0000
    connect \Y $techmap\murax.system_uartCtrl.$procmux$879_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3609"
  cell $mux $techmap\murax.system_uartCtrl.$procmux$881
    parameter \WIDTH 1
    connect \A 1'0
    connect \B \murax.system_uartCtrl.bridge_interruptCtrl_writeInt
    connect \S $techmap\murax.system_uartCtrl.$procmux$882_CMP
    connect \Y $techmap\murax.system_uartCtrl.$procmux$881_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3609"
  cell $eq $techmap\murax.system_uartCtrl.$procmux$882_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.io_apb_PADDR
    connect \B 4'0100
    connect \Y $techmap\murax.system_uartCtrl.$procmux$882_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3609"
  cell $pmux $techmap\murax.system_uartCtrl.$procmux$884
    parameter \S_WIDTH 2
    parameter \WIDTH 1
    connect \A 1'0
    connect \B { \murax.system_uartCtrl._zz_17 \murax.system_uartCtrl._zz_23 [0] }
    connect \S { $techmap\murax.system_uartCtrl.$procmux$886_CMP $techmap\murax.system_uartCtrl.$procmux$885_CMP }
    connect \Y $techmap\murax.system_uartCtrl.$procmux$884_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3609"
  cell $eq $techmap\murax.system_uartCtrl.$procmux$885_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.io_apb_PADDR
    connect \B 4'0100
    connect \Y $techmap\murax.system_uartCtrl.$procmux$885_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3609"
  cell $eq $techmap\murax.system_uartCtrl.$procmux$886_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.io_apb_PADDR
    connect \B 4'0000
    connect \Y $techmap\murax.system_uartCtrl.$procmux$886_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3611"
  cell $mux $techmap\murax.system_uartCtrl.$procmux$890
    parameter \WIDTH 1
    connect \A 1'0
    connect \B 1'1
    connect \S $techmap\murax.system_uartCtrl.$procmux$891_CMP
    connect \Y $techmap\murax.system_uartCtrl.$procmux$890_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3609"
  cell $mux $techmap\murax.system_uartCtrl.$procmux$892
    parameter \WIDTH 1
    connect \A 1'x
    connect \B $techmap\murax.system_uartCtrl.$procmux$890_Y
    connect \S $techmap\murax.system_uartCtrl.$procmux$893_CMP
    connect \Y $techmap\murax.system_uartCtrl.$procmux$892_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3609"
  cell $eq $techmap\murax.system_uartCtrl.$procmux$893_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.io_apb_PADDR
    connect \B 4'0000
    connect \Y $techmap\murax.system_uartCtrl.$procmux$893_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3614"
  cell $mux $techmap\murax.system_uartCtrl.$procmux$897
    parameter \WIDTH 1
    connect \A 1'0
    connect \B 1'1
    connect \S $techmap\murax.system_uartCtrl.$procmux$898_CMP
    connect \Y $techmap\murax.system_uartCtrl.$procmux$897_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3609"
  cell $mux $techmap\murax.system_uartCtrl.$procmux$899
    parameter \WIDTH 1
    connect \A 1'x
    connect \B $techmap\murax.system_uartCtrl.$procmux$897_Y
    connect \S $techmap\murax.system_uartCtrl.$procmux$900_CMP
    connect \Y $techmap\murax.system_uartCtrl.$procmux$899_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3609"
  cell $eq $techmap\murax.system_uartCtrl.$procmux$900_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.io_apb_PADDR
    connect \B 4'0000
    connect \Y $techmap\murax.system_uartCtrl.$procmux$900_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3609"
  cell $pmux $techmap\murax.system_uartCtrl.$procmux$902
    parameter \S_WIDTH 2
    parameter \WIDTH 1
    connect \A 1'0
    connect \B { \murax.system_uartCtrl._zz_18 [1] \murax.system_uartCtrl.bridge_interruptCtrl_readIntEnable }
    connect \S { $techmap\murax.system_uartCtrl.$procmux$904_CMP $techmap\murax.system_uartCtrl.$procmux$903_CMP }
    connect \Y $techmap\murax.system_uartCtrl.$procmux$902_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3609"
  cell $eq $techmap\murax.system_uartCtrl.$procmux$903_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.io_apb_PADDR
    connect \B 4'0100
    connect \Y $techmap\murax.system_uartCtrl.$procmux$903_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3609"
  cell $eq $techmap\murax.system_uartCtrl.$procmux$904_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.io_apb_PADDR
    connect \B 4'0000
    connect \Y $techmap\murax.system_uartCtrl.$procmux$904_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3609"
  cell $mux $techmap\murax.system_uartCtrl.$procmux$907
    parameter \WIDTH 1
    connect \A 1'0
    connect \B $techmap\murax.system_uartCtrl.$2\_zz_4[0:0]
    connect \S $techmap\murax.system_uartCtrl.$procmux$908_CMP
    connect \Y $techmap\murax.system_uartCtrl.$procmux$907_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3609"
  cell $eq $techmap\murax.system_uartCtrl.$procmux$908_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.io_apb_PADDR
    connect \B 4'0000
    connect \Y $techmap\murax.system_uartCtrl.$procmux$908_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3609"
  cell $mux $techmap\murax.system_uartCtrl.$procmux$911
    parameter \WIDTH 1
    connect \A 1'0
    connect \B $techmap\murax.system_uartCtrl.$2\_zz_1[0:0]
    connect \S $techmap\murax.system_uartCtrl.$procmux$912_CMP
    connect \Y $techmap\murax.system_uartCtrl.$procmux$911_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3609"
  cell $eq $techmap\murax.system_uartCtrl.$procmux$912_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.io_apb_PADDR
    connect \B 4'0000
    connect \Y $techmap\murax.system_uartCtrl.$procmux$912_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3609"
  cell $mux $techmap\murax.system_uartCtrl.$procmux$914
    parameter \WIDTH 5
    connect \A 5'00000
    connect \B \murax.system_uartCtrl._zz_19
    connect \S $techmap\murax.system_uartCtrl.$procmux$915_CMP
    connect \Y $techmap\murax.system_uartCtrl.$procmux$914_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3609"
  cell $eq $techmap\murax.system_uartCtrl.$procmux$915_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.io_apb_PADDR
    connect \B 4'0100
    connect \Y $techmap\murax.system_uartCtrl.$procmux$915_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3609"
  cell $mux $techmap\murax.system_uartCtrl.$procmux$917
    parameter \WIDTH 1
    connect \A 1'0
    connect \B \murax.system_uartCtrl.bridge_interruptCtrl_readInt
    connect \S $techmap\murax.system_uartCtrl.$procmux$918_CMP
    connect \Y $techmap\murax.system_uartCtrl.$procmux$917_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3609"
  cell $eq $techmap\murax.system_uartCtrl.$procmux$918_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.io_apb_PADDR
    connect \B 4'0100
    connect \Y $techmap\murax.system_uartCtrl.$procmux$918_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3609"
  cell $pmux $techmap\murax.system_uartCtrl.$procmux$920
    parameter \S_WIDTH 2
    parameter \WIDTH 1
    connect \A 1'0
    connect \B { \murax.system_uartCtrl._zz_18 [0] \murax.system_uartCtrl.bridge_interruptCtrl_writeIntEnable }
    connect \S { $techmap\murax.system_uartCtrl.$procmux$922_CMP $techmap\murax.system_uartCtrl.$procmux$921_CMP }
    connect \Y $techmap\murax.system_uartCtrl.$procmux$920_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3609"
  cell $eq $techmap\murax.system_uartCtrl.$procmux$921_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.io_apb_PADDR
    connect \B 4'0100
    connect \Y $techmap\murax.system_uartCtrl.$procmux$921_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3609"
  cell $eq $techmap\murax.system_uartCtrl.$procmux$922_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.io_apb_PADDR
    connect \B 4'0000
    connect \Y $techmap\murax.system_uartCtrl.$procmux$922_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3661"
  cell $mux $techmap\murax.system_uartCtrl.$procmux$923
    parameter \WIDTH 1
    connect \A \murax.system_uartCtrl.bridge_interruptCtrl_readIntEnable
    connect \B \murax.system_uartCtrl._zz_22
    connect \S $techmap\murax.system_uartCtrl.$procmux$924_CMP
    connect \Y $techmap\murax.system_uartCtrl.$procmux$923_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3657"
  cell $mux $techmap\murax.system_uartCtrl.$procmux$925
    parameter \WIDTH 1
    connect \A \murax.system_uartCtrl.bridge_interruptCtrl_readIntEnable
    connect \B $techmap\murax.system_uartCtrl.$procmux$923_Y
    connect \S $techmap\murax.system_uartCtrl.$procmux$926_CMP
    connect \Y $techmap\murax.system_uartCtrl.$procmux$925_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3657"
  cell $eq $techmap\murax.system_uartCtrl.$procmux$926_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.io_apb_PADDR
    connect \B 4'0100
    connect \Y $techmap\murax.system_uartCtrl.$procmux$926_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3661"
  cell $mux $techmap\murax.system_uartCtrl.$procmux$927
    parameter \WIDTH 1
    connect \A \murax.system_uartCtrl.bridge_interruptCtrl_writeIntEnable
    connect \B \murax.system_uartCtrl._zz_21
    connect \S $techmap\murax.system_uartCtrl.$procmux$928_CMP
    connect \Y $techmap\murax.system_uartCtrl.$procmux$927_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3657"
  cell $mux $techmap\murax.system_uartCtrl.$procmux$929
    parameter \WIDTH 1
    connect \A \murax.system_uartCtrl.bridge_interruptCtrl_writeIntEnable
    connect \B $techmap\murax.system_uartCtrl.$procmux$927_Y
    connect \S $techmap\murax.system_uartCtrl.$procmux$930_CMP
    connect \Y $techmap\murax.system_uartCtrl.$procmux$929_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3657"
  cell $eq $techmap\murax.system_uartCtrl.$procmux$930_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.io_apb_PADDR
    connect \B 4'0100
    connect \Y $techmap\murax.system_uartCtrl.$procmux$930_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3561"
  cell $sub $techmap\murax.system_uartCtrl.$sub$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3561$744
    parameter \A_SIGNED 0
    parameter \A_WIDTH 5
    parameter \B_SIGNED 0
    parameter \B_WIDTH 5
    parameter \Y_WIDTH 5
    connect \A 5'10000
    connect \B \murax.system_uartCtrl._zz_14
    connect \Y $techmap\murax.system_uartCtrl.$sub$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3561$744_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:658"
  cell $add $techmap\murax.system_uartCtrl.streamFifo_2.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:658$71
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 4
    connect \A \murax.system_uartCtrl.streamFifo_2.pushPtr_value
    connect \B \murax.system_uartCtrl.streamFifo_2._zz_8
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_2.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:658$71_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:674"
  cell $add $techmap\murax.system_uartCtrl.streamFifo_2.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:674$76
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 4
    connect \A \murax.system_uartCtrl.streamFifo_2.popPtr_value
    connect \B \murax.system_uartCtrl.streamFifo_2._zz_10
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_2.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:674$76_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:655"
  cell $eq $techmap\murax.system_uartCtrl.streamFifo_2.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:655$68
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.streamFifo_2.pushPtr_value
    connect \B 4'1111
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_2.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:655$68_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:671"
  cell $eq $techmap\murax.system_uartCtrl.streamFifo_2.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:671$73
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.streamFifo_2.popPtr_value
    connect \B 4'1111
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_2.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:671$73_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:680"
  cell $eq $techmap\murax.system_uartCtrl.streamFifo_2.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:680$77
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.streamFifo_2.pushPtr_value
    connect \B \murax.system_uartCtrl.streamFifo_2.popPtr_value
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_2.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:680$77_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:701"
  cell $eq $techmap\murax.system_uartCtrl.streamFifo_2.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:701$94
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.streamFifo_2.popPtr_valueNext
    connect \B \murax.system_uartCtrl.streamFifo_2.pushPtr_value
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_2.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:701$94_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:656"
  cell $logic_and $techmap\murax.system_uartCtrl.streamFifo_2.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:656$69
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.streamFifo_2.pushPtr_willOverflowIfInc
    connect \B \murax.system_uartCtrl.streamFifo_2.pushPtr_willIncrement
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_2.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:656$69_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:672"
  cell $logic_and $techmap\murax.system_uartCtrl.streamFifo_2.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:672$74
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.streamFifo_2.popPtr_willOverflowIfInc
    connect \B \murax.system_uartCtrl.streamFifo_2.popPtr_willIncrement
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_2.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:672$74_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:681"
  cell $logic_and $techmap\murax.system_uartCtrl.streamFifo_2.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:681$78
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.streamFifo_2.io_push_valid
    connect \B \murax.system_uartCtrl.streamFifo_2._zz_5
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_2.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:681$78_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:682"
  cell $logic_and $techmap\murax.system_uartCtrl.streamFifo_2.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:682$79
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.streamFifo_2._zz_6
    connect \B \murax.system_uartCtrl.streamFifo_2.io_pop_ready
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_2.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:682$79_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:683"
  cell $logic_and $techmap\murax.system_uartCtrl.streamFifo_2.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:683$81
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.streamFifo_2.ptrMatch
    connect \B $techmap\murax.system_uartCtrl.streamFifo_2.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:683$80_Y
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_2.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:683$81_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:684"
  cell $logic_and $techmap\murax.system_uartCtrl.streamFifo_2.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:684$82
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.streamFifo_2.ptrMatch
    connect \B \murax.system_uartCtrl.streamFifo_2.risingOccupancy
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_2.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:684$82_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:686"
  cell $logic_and $techmap\murax.system_uartCtrl.streamFifo_2.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:686$86
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.streamFifo_2._zz_2
    connect \B $techmap\murax.system_uartCtrl.streamFifo_2.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:686$85_Y
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_2.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:686$86_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:686"
  cell $logic_and $techmap\murax.system_uartCtrl.streamFifo_2.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:686$88
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_uartCtrl.streamFifo_2.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:686$84_Y
    connect \B $techmap\murax.system_uartCtrl.streamFifo_2.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:686$87_Y
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_2.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:686$88_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:690"
  cell $logic_and $techmap\murax.system_uartCtrl.streamFifo_2.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:690$90
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.streamFifo_2.risingOccupancy
    connect \B \murax.system_uartCtrl.streamFifo_2.ptrMatch
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_2.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:690$90_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:691"
  cell $logic_and $techmap\murax.system_uartCtrl.streamFifo_2.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:691$92
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_uartCtrl.streamFifo_2.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:691$91_Y
    connect \B \murax.system_uartCtrl.streamFifo_2.ptrMatch
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_2.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:691$92_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:683"
  cell $logic_not $techmap\murax.system_uartCtrl.streamFifo_2.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:683$80
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.streamFifo_2.risingOccupancy
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_2.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:683$80_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:685"
  cell $logic_not $techmap\murax.system_uartCtrl.streamFifo_2.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:685$83
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.streamFifo_2.full
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_2.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:685$83_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:686"
  cell $logic_not $techmap\murax.system_uartCtrl.streamFifo_2.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:686$84
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.streamFifo_2.empty
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_2.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:686$84_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:686"
  cell $logic_not $techmap\murax.system_uartCtrl.streamFifo_2.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:686$85
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.streamFifo_2.full
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_2.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:686$85_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:686"
  cell $logic_not $techmap\murax.system_uartCtrl.streamFifo_2.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:686$87
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_uartCtrl.streamFifo_2.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:686$86_Y
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_2.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:686$87_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:691"
  cell $logic_not $techmap\murax.system_uartCtrl.streamFifo_2.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:691$91
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.streamFifo_2.risingOccupancy
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_2.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:691$91_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:633"
  cell $memrd $techmap\murax.system_uartCtrl.streamFifo_2.$memrd$\ram$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:633$65
    parameter \ABITS 4
    parameter \CLK_ENABLE 0
    parameter \CLK_POLARITY 0
    parameter \MEMID "\\murax.system_uartCtrl.streamFifo_2.ram"
    parameter \TRANSPARENT 0
    parameter \WIDTH 8
    connect \ADDR \murax.system_uartCtrl.streamFifo_2.popPtr_valueNext
    connect \CLK 1'x
    connect \DATA $techmap\murax.system_uartCtrl.streamFifo_2.$memrd$\ram$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:633$65_DATA
    connect \EN 1'x
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:627"
  cell $memwr $techmap\murax.system_uartCtrl.streamFifo_2.$memwr$\ram$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:627$96
    parameter \ABITS 4
    parameter \CLK_ENABLE 0
    parameter \CLK_POLARITY 0
    parameter \MEMID "\\murax.system_uartCtrl.streamFifo_2.ram"
    parameter \PRIORITY 96
    parameter \WIDTH 8
    connect \ADDR $techmap\murax.system_uartCtrl.streamFifo_2.$memwr$\ram$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:627$58_ADDR
    connect \CLK 1'x
    connect \DATA $techmap\murax.system_uartCtrl.streamFifo_2.$memwr$\ram$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:627$58_DATA
    connect \EN $techmap\murax.system_uartCtrl.streamFifo_2.$memwr$\ram$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:627$58_EN
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:702"
  cell $ne $techmap\murax.system_uartCtrl.streamFifo_2.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:702$95
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.streamFifo_2.pushing
    connect \B \murax.system_uartCtrl.streamFifo_2.popping
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_2.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:702$95_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:625"
  cell $dff $techmap\murax.system_uartCtrl.streamFifo_2.$procdff$2495
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 4
    connect \CLK \murax.system_uartCtrl.streamFifo_2.io_mainClk
    connect \D $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1346_Y
    connect \Q $techmap\murax.system_uartCtrl.streamFifo_2.$memwr$\ram$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:627$58_ADDR
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:625"
  cell $dff $techmap\murax.system_uartCtrl.streamFifo_2.$procdff$2496
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 8
    connect \CLK \murax.system_uartCtrl.streamFifo_2.io_mainClk
    connect \D $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1344_Y
    connect \Q $techmap\murax.system_uartCtrl.streamFifo_2.$memwr$\ram$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:627$58_DATA
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:625"
  cell $dff $techmap\murax.system_uartCtrl.streamFifo_2.$procdff$2497
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 8
    connect \CLK \murax.system_uartCtrl.streamFifo_2.io_mainClk
    connect \D $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1342_Y
    connect \Q $techmap\murax.system_uartCtrl.streamFifo_2.$memwr$\ram$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:627$58_EN
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:631"
  cell $dff $techmap\murax.system_uartCtrl.streamFifo_2.$procdff$2498
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 8
    connect \CLK \murax.system_uartCtrl.streamFifo_2.io_mainClk
    connect \D $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1348_Y
    connect \Q \murax.system_uartCtrl.streamFifo_2._zz_4
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:692"
  cell $adff $techmap\murax.system_uartCtrl.streamFifo_2.$procdff$2499
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 1'0
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \ARST \murax.system_uartCtrl.streamFifo_2.resetCtrl_systemReset
    connect \CLK \murax.system_uartCtrl.streamFifo_2.io_mainClk
    connect \D $techmap\murax.system_uartCtrl.streamFifo_2.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:701$94_Y
    connect \Q \murax.system_uartCtrl.streamFifo_2._zz_2
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:692"
  cell $adff $techmap\murax.system_uartCtrl.streamFifo_2.$procdff$2500
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 4'0000
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 4
    connect \ARST \murax.system_uartCtrl.streamFifo_2.resetCtrl_systemReset
    connect \CLK \murax.system_uartCtrl.streamFifo_2.io_mainClk
    connect \D $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1363_Y
    connect \Q \murax.system_uartCtrl.streamFifo_2.pushPtr_value
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:692"
  cell $adff $techmap\murax.system_uartCtrl.streamFifo_2.$procdff$2501
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 4'0000
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 4
    connect \ARST \murax.system_uartCtrl.streamFifo_2.resetCtrl_systemReset
    connect \CLK \murax.system_uartCtrl.streamFifo_2.io_mainClk
    connect \D $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1369_Y
    connect \Q \murax.system_uartCtrl.streamFifo_2.popPtr_value
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:692"
  cell $adff $techmap\murax.system_uartCtrl.streamFifo_2.$procdff$2502
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 1'0
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \ARST \murax.system_uartCtrl.streamFifo_2.resetCtrl_systemReset
    connect \CLK \murax.system_uartCtrl.streamFifo_2.io_mainClk
    connect \D $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1373_Y
    connect \Q \murax.system_uartCtrl.streamFifo_2.risingOccupancy
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:626"
  cell $mux $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1342
    parameter \WIDTH 8
    connect \A 8'00000000
    connect \B 8'11111111
    connect \S $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1343_CMP
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1342_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:626"
  cell $mux $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1344
    parameter \WIDTH 8
    connect \A 8'xxxxxxxx
    connect \B \murax.system_uartCtrl.streamFifo_2.io_push_payload
    connect \S $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1345_CMP
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1344_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:626"
  cell $mux $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1346
    parameter \WIDTH 4
    connect \A 4'xxxx
    connect \B \murax.system_uartCtrl.streamFifo_2.pushPtr_value
    connect \S $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1347_CMP
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1346_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:632"
  cell $mux $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1348
    parameter \WIDTH 8
    connect \A \murax.system_uartCtrl.streamFifo_2._zz_4
    connect \B $techmap\murax.system_uartCtrl.streamFifo_2.$memrd$\ram$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:633$65_DATA
    connect \S $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1349_CMP
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1348_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:640"
  cell $mux $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1351
    parameter \WIDTH 1
    connect \A 1'0
    connect \B 1'1
    connect \S $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1352_CMP
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1351_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:640"
  cell $mux $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1354
    parameter \WIDTH 1
    connect \A 1'0
    connect \B 1'1
    connect \S $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1355_CMP
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1354_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:649"
  cell $mux $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1357
    parameter \WIDTH 1
    connect \A 1'0
    connect \B 1'1
    connect \S $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1358_CMP
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1357_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:649"
  cell $mux $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1360
    parameter \WIDTH 1
    connect \A 1'0
    connect \B 1'1
    connect \S $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1361_CMP
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1360_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:659"
  cell $mux $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1363
    parameter \WIDTH 4
    connect \A $techmap\murax.system_uartCtrl.streamFifo_2.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:658$71_Y
    connect \B 4'0000
    connect \S $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1364_CMP
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1363_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:666"
  cell $mux $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1366
    parameter \WIDTH 1
    connect \A 1'0
    connect \B 1'1
    connect \S $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1367_CMP
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1366_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:675"
  cell $mux $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1369
    parameter \WIDTH 4
    connect \A $techmap\murax.system_uartCtrl.streamFifo_2.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:674$76_Y
    connect \B 4'0000
    connect \S $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1370_CMP
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1369_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:702"
  cell $mux $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1371
    parameter \WIDTH 1
    connect \A \murax.system_uartCtrl.streamFifo_2.risingOccupancy
    connect \B \murax.system_uartCtrl.streamFifo_2.pushing
    connect \S $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1372_CMP
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1371_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:705"
  cell $mux $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1373
    parameter \WIDTH 1
    connect \A $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1371_Y
    connect \B 1'0
    connect \S $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1374_CMP
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1373_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:624"
  cell $sub $techmap\murax.system_uartCtrl.streamFifo_2.$sub$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:624$59
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 4
    connect \A \murax.system_uartCtrl.streamFifo_2.popPtr_value
    connect \B \murax.system_uartCtrl.streamFifo_2.pushPtr_value
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_2.$sub$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:624$59_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3577|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:689"
  cell $sub $techmap\murax.system_uartCtrl.streamFifo_2.$sub$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:689$89
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 4
    connect \A \murax.system_uartCtrl.streamFifo_2.pushPtr_value
    connect \B \murax.system_uartCtrl.streamFifo_2.popPtr_value
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_2.$sub$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:689$89_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:658"
  cell $add $techmap\murax.system_uartCtrl.streamFifo_3.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:658$71
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 4
    connect \A \murax.system_uartCtrl.streamFifo_3.pushPtr_value
    connect \B \murax.system_uartCtrl.streamFifo_3._zz_8
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_3.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:658$71_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:674"
  cell $add $techmap\murax.system_uartCtrl.streamFifo_3.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:674$76
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 4
    connect \A \murax.system_uartCtrl.streamFifo_3.popPtr_value
    connect \B \murax.system_uartCtrl.streamFifo_3._zz_10
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_3.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:674$76_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:655"
  cell $eq $techmap\murax.system_uartCtrl.streamFifo_3.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:655$68
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.streamFifo_3.pushPtr_value
    connect \B 4'1111
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_3.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:655$68_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:671"
  cell $eq $techmap\murax.system_uartCtrl.streamFifo_3.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:671$73
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.streamFifo_3.popPtr_value
    connect \B 4'1111
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_3.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:671$73_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:680"
  cell $eq $techmap\murax.system_uartCtrl.streamFifo_3.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:680$77
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.streamFifo_3.pushPtr_value
    connect \B \murax.system_uartCtrl.streamFifo_3.popPtr_value
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_3.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:680$77_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:701"
  cell $eq $techmap\murax.system_uartCtrl.streamFifo_3.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:701$94
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.streamFifo_3.popPtr_valueNext
    connect \B \murax.system_uartCtrl.streamFifo_3.pushPtr_value
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_3.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:701$94_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:656"
  cell $logic_and $techmap\murax.system_uartCtrl.streamFifo_3.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:656$69
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.streamFifo_3.pushPtr_willOverflowIfInc
    connect \B \murax.system_uartCtrl.streamFifo_3.pushPtr_willIncrement
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_3.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:656$69_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:672"
  cell $logic_and $techmap\murax.system_uartCtrl.streamFifo_3.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:672$74
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.streamFifo_3.popPtr_willOverflowIfInc
    connect \B \murax.system_uartCtrl.streamFifo_3.popPtr_willIncrement
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_3.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:672$74_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:681"
  cell $logic_and $techmap\murax.system_uartCtrl.streamFifo_3.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:681$78
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.streamFifo_3.io_push_valid
    connect \B \murax.system_uartCtrl.streamFifo_3._zz_5
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_3.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:681$78_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:682"
  cell $logic_and $techmap\murax.system_uartCtrl.streamFifo_3.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:682$79
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.streamFifo_3._zz_6
    connect \B \murax.system_uartCtrl.streamFifo_3.io_pop_ready
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_3.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:682$79_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:683"
  cell $logic_and $techmap\murax.system_uartCtrl.streamFifo_3.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:683$81
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.streamFifo_3.ptrMatch
    connect \B $techmap\murax.system_uartCtrl.streamFifo_3.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:683$80_Y
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_3.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:683$81_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:684"
  cell $logic_and $techmap\murax.system_uartCtrl.streamFifo_3.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:684$82
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.streamFifo_3.ptrMatch
    connect \B \murax.system_uartCtrl.streamFifo_3.risingOccupancy
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_3.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:684$82_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:686"
  cell $logic_and $techmap\murax.system_uartCtrl.streamFifo_3.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:686$86
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.streamFifo_3._zz_2
    connect \B $techmap\murax.system_uartCtrl.streamFifo_3.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:686$85_Y
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_3.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:686$86_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:686"
  cell $logic_and $techmap\murax.system_uartCtrl.streamFifo_3.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:686$88
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_uartCtrl.streamFifo_3.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:686$84_Y
    connect \B $techmap\murax.system_uartCtrl.streamFifo_3.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:686$87_Y
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_3.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:686$88_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:690"
  cell $logic_and $techmap\murax.system_uartCtrl.streamFifo_3.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:690$90
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.streamFifo_3.risingOccupancy
    connect \B \murax.system_uartCtrl.streamFifo_3.ptrMatch
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_3.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:690$90_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:691"
  cell $logic_and $techmap\murax.system_uartCtrl.streamFifo_3.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:691$92
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_uartCtrl.streamFifo_3.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:691$91_Y
    connect \B \murax.system_uartCtrl.streamFifo_3.ptrMatch
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_3.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:691$92_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:683"
  cell $logic_not $techmap\murax.system_uartCtrl.streamFifo_3.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:683$80
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.streamFifo_3.risingOccupancy
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_3.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:683$80_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:685"
  cell $logic_not $techmap\murax.system_uartCtrl.streamFifo_3.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:685$83
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.streamFifo_3.full
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_3.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:685$83_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:686"
  cell $logic_not $techmap\murax.system_uartCtrl.streamFifo_3.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:686$84
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.streamFifo_3.empty
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_3.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:686$84_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:686"
  cell $logic_not $techmap\murax.system_uartCtrl.streamFifo_3.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:686$85
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.streamFifo_3.full
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_3.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:686$85_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:686"
  cell $logic_not $techmap\murax.system_uartCtrl.streamFifo_3.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:686$87
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_uartCtrl.streamFifo_3.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:686$86_Y
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_3.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:686$87_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:691"
  cell $logic_not $techmap\murax.system_uartCtrl.streamFifo_3.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:691$91
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.streamFifo_3.risingOccupancy
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_3.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:691$91_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:633"
  cell $memrd $techmap\murax.system_uartCtrl.streamFifo_3.$memrd$\ram$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:633$65
    parameter \ABITS 4
    parameter \CLK_ENABLE 0
    parameter \CLK_POLARITY 0
    parameter \MEMID "\\murax.system_uartCtrl.streamFifo_3.ram"
    parameter \TRANSPARENT 0
    parameter \WIDTH 8
    connect \ADDR \murax.system_uartCtrl.streamFifo_3.popPtr_valueNext
    connect \CLK 1'x
    connect \DATA $techmap\murax.system_uartCtrl.streamFifo_3.$memrd$\ram$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:633$65_DATA
    connect \EN 1'x
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:627"
  cell $memwr $techmap\murax.system_uartCtrl.streamFifo_3.$memwr$\ram$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:627$96
    parameter \ABITS 4
    parameter \CLK_ENABLE 0
    parameter \CLK_POLARITY 0
    parameter \MEMID "\\murax.system_uartCtrl.streamFifo_3.ram"
    parameter \PRIORITY 96
    parameter \WIDTH 8
    connect \ADDR $techmap\murax.system_uartCtrl.streamFifo_3.$memwr$\ram$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:627$58_ADDR
    connect \CLK 1'x
    connect \DATA $techmap\murax.system_uartCtrl.streamFifo_3.$memwr$\ram$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:627$58_DATA
    connect \EN $techmap\murax.system_uartCtrl.streamFifo_3.$memwr$\ram$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:627$58_EN
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:702"
  cell $ne $techmap\murax.system_uartCtrl.streamFifo_3.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:702$95
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.streamFifo_3.pushing
    connect \B \murax.system_uartCtrl.streamFifo_3.popping
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_3.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:702$95_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:625"
  cell $dff $techmap\murax.system_uartCtrl.streamFifo_3.$procdff$2495
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 4
    connect \CLK \murax.system_uartCtrl.streamFifo_3.io_mainClk
    connect \D $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1346_Y
    connect \Q $techmap\murax.system_uartCtrl.streamFifo_3.$memwr$\ram$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:627$58_ADDR
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:625"
  cell $dff $techmap\murax.system_uartCtrl.streamFifo_3.$procdff$2496
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 8
    connect \CLK \murax.system_uartCtrl.streamFifo_3.io_mainClk
    connect \D $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1344_Y
    connect \Q $techmap\murax.system_uartCtrl.streamFifo_3.$memwr$\ram$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:627$58_DATA
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:625"
  cell $dff $techmap\murax.system_uartCtrl.streamFifo_3.$procdff$2497
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 8
    connect \CLK \murax.system_uartCtrl.streamFifo_3.io_mainClk
    connect \D $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1342_Y
    connect \Q $techmap\murax.system_uartCtrl.streamFifo_3.$memwr$\ram$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:627$58_EN
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:631"
  cell $dff $techmap\murax.system_uartCtrl.streamFifo_3.$procdff$2498
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 8
    connect \CLK \murax.system_uartCtrl.streamFifo_3.io_mainClk
    connect \D $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1348_Y
    connect \Q \murax.system_uartCtrl.streamFifo_3._zz_4
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:692"
  cell $adff $techmap\murax.system_uartCtrl.streamFifo_3.$procdff$2499
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 1'0
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \ARST \murax.system_uartCtrl.streamFifo_3.resetCtrl_systemReset
    connect \CLK \murax.system_uartCtrl.streamFifo_3.io_mainClk
    connect \D $techmap\murax.system_uartCtrl.streamFifo_3.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:701$94_Y
    connect \Q \murax.system_uartCtrl.streamFifo_3._zz_2
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:692"
  cell $adff $techmap\murax.system_uartCtrl.streamFifo_3.$procdff$2500
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 4'0000
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 4
    connect \ARST \murax.system_uartCtrl.streamFifo_3.resetCtrl_systemReset
    connect \CLK \murax.system_uartCtrl.streamFifo_3.io_mainClk
    connect \D $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1363_Y
    connect \Q \murax.system_uartCtrl.streamFifo_3.pushPtr_value
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:692"
  cell $adff $techmap\murax.system_uartCtrl.streamFifo_3.$procdff$2501
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 4'0000
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 4
    connect \ARST \murax.system_uartCtrl.streamFifo_3.resetCtrl_systemReset
    connect \CLK \murax.system_uartCtrl.streamFifo_3.io_mainClk
    connect \D $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1369_Y
    connect \Q \murax.system_uartCtrl.streamFifo_3.popPtr_value
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:692"
  cell $adff $techmap\murax.system_uartCtrl.streamFifo_3.$procdff$2502
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 1'0
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \ARST \murax.system_uartCtrl.streamFifo_3.resetCtrl_systemReset
    connect \CLK \murax.system_uartCtrl.streamFifo_3.io_mainClk
    connect \D $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1373_Y
    connect \Q \murax.system_uartCtrl.streamFifo_3.risingOccupancy
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:626"
  cell $mux $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1342
    parameter \WIDTH 8
    connect \A 8'00000000
    connect \B 8'11111111
    connect \S $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1343_CMP
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1342_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:626"
  cell $mux $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1344
    parameter \WIDTH 8
    connect \A 8'xxxxxxxx
    connect \B \murax.system_uartCtrl.streamFifo_3.io_push_payload
    connect \S $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1345_CMP
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1344_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:626"
  cell $mux $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1346
    parameter \WIDTH 4
    connect \A 4'xxxx
    connect \B \murax.system_uartCtrl.streamFifo_3.pushPtr_value
    connect \S $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1347_CMP
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1346_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:632"
  cell $mux $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1348
    parameter \WIDTH 8
    connect \A \murax.system_uartCtrl.streamFifo_3._zz_4
    connect \B $techmap\murax.system_uartCtrl.streamFifo_3.$memrd$\ram$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:633$65_DATA
    connect \S $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1349_CMP
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1348_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:640"
  cell $mux $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1351
    parameter \WIDTH 1
    connect \A 1'0
    connect \B 1'1
    connect \S $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1352_CMP
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1351_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:640"
  cell $mux $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1354
    parameter \WIDTH 1
    connect \A 1'0
    connect \B 1'1
    connect \S $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1355_CMP
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1354_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:649"
  cell $mux $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1357
    parameter \WIDTH 1
    connect \A 1'0
    connect \B 1'1
    connect \S $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1358_CMP
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1357_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:649"
  cell $mux $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1360
    parameter \WIDTH 1
    connect \A 1'0
    connect \B 1'1
    connect \S $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1361_CMP
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1360_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:659"
  cell $mux $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1363
    parameter \WIDTH 4
    connect \A $techmap\murax.system_uartCtrl.streamFifo_3.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:658$71_Y
    connect \B 4'0000
    connect \S $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1364_CMP
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1363_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:666"
  cell $mux $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1366
    parameter \WIDTH 1
    connect \A 1'0
    connect \B 1'1
    connect \S $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1367_CMP
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1366_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:675"
  cell $mux $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1369
    parameter \WIDTH 4
    connect \A $techmap\murax.system_uartCtrl.streamFifo_3.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:674$76_Y
    connect \B 4'0000
    connect \S $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1370_CMP
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1369_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:702"
  cell $mux $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1371
    parameter \WIDTH 1
    connect \A \murax.system_uartCtrl.streamFifo_3.risingOccupancy
    connect \B \murax.system_uartCtrl.streamFifo_3.pushing
    connect \S $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1372_CMP
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1371_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:705"
  cell $mux $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1373
    parameter \WIDTH 1
    connect \A $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1371_Y
    connect \B 1'0
    connect \S $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1374_CMP
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1373_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:624"
  cell $sub $techmap\murax.system_uartCtrl.streamFifo_3.$sub$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:624$59
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 4
    connect \A \murax.system_uartCtrl.streamFifo_3.popPtr_value
    connect \B \murax.system_uartCtrl.streamFifo_3.pushPtr_value
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_3.$sub$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:624$59_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3590|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:689"
  cell $sub $techmap\murax.system_uartCtrl.streamFifo_3.$sub$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:689$89
    parameter \A_SIGNED 0
    parameter \A_WIDTH 4
    parameter \B_SIGNED 0
    parameter \B_WIDTH 4
    parameter \Y_WIDTH 4
    connect \A \murax.system_uartCtrl.streamFifo_3.pushPtr_value
    connect \B \murax.system_uartCtrl.streamFifo_3.popPtr_value
    connect \Y $techmap\murax.system_uartCtrl.streamFifo_3.$sub$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:689$89_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:557"
  cell $eq $techmap\murax.system_uartCtrl.uartCtrl_1.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:557$55
    parameter \A_SIGNED 0
    parameter \A_WIDTH 20
    parameter \B_SIGNED 0
    parameter \B_WIDTH 20
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.clockDivider_counter
    connect \B 20'00000000000000000000
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:557$55_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:562"
  cell $adff $techmap\murax.system_uartCtrl.uartCtrl_1.$procdff$2510
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 20'00000000000000000000
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 20
    connect \ARST \murax.system_uartCtrl.uartCtrl_1.resetCtrl_systemReset
    connect \CLK \murax.system_uartCtrl.uartCtrl_1.io_mainClk
    connect \D $techmap\murax.system_uartCtrl.uartCtrl_1.$procmux$1415_Y
    connect \Q \murax.system_uartCtrl.uartCtrl_1.clockDivider_counter
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:567"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.$procmux$1415
    parameter \WIDTH 20
    connect \A $techmap\murax.system_uartCtrl.uartCtrl_1.$sub$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:566$57_Y
    connect \B \murax.system_uartCtrl.uartCtrl_1.io_config_clockDivider
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.$procmux$1416_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.$procmux$1415_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:566"
  cell $sub $techmap\murax.system_uartCtrl.uartCtrl_1.$sub$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:566$57
    parameter \A_SIGNED 0
    parameter \A_WIDTH 20
    parameter \B_SIGNED 0
    parameter \B_WIDTH 20
    parameter \Y_WIDTH 20
    connect \A \murax.system_uartCtrl.uartCtrl_1.clockDivider_counter
    connect \B 20'00000000000000000001
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.$sub$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:566$57_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:417"
  cell $add $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:417$46
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 3
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.bitCounter_value
    connect \B 3'001
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:417$46_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:314"
  cell $eq $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:314$24
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.bitCounter_value
    connect \B \murax.system_uartCtrl.uartCtrl_1.rx.io_configFrame_dataLength
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:314$24_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:315"
  cell $eq $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:315$25
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.bitTimer_counter
    connect \B 3'000
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:315$25_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:316"
  cell $eq $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:316$26
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.io_configFrame_stop
    connect \B 1'0
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:316$26_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:367"
  cell $eq $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:367$39
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.sampler_value
    connect \B 1'1
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:367$39_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:375"
  cell $eq $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:375$40
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.io_configFrame_parity
    connect \B 2'00
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:375$40_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:386"
  cell $eq $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:386$41
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_parity
    connect \B \murax.system_uartCtrl.uartCtrl_1.rx.sampler_value
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:386$41_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:399"
  cell $eq $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:399$43
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.bitCounter_value
    connect \B \murax.system_uartCtrl.uartCtrl_1.rx._zz_8
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:399$43_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:431"
  cell $eq $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:431$48
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.io_configFrame_parity
    connect \B 2'10
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:431$48_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:313"
  cell $logic_and $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:313$23
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.sampler_tick
    connect \B $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:313$22_Y
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:313$23_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:355"
  cell $logic_and $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:355$30
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A 1'1
    connect \B \murax.system_uartCtrl.uartCtrl_1.rx.sampler_samples_0
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:355$30_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:355"
  cell $logic_and $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:355$31
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:355$30_Y
    connect \B \murax.system_uartCtrl.uartCtrl_1.rx.sampler_samples_1
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:355$31_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:355"
  cell $logic_and $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:355$33
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A 1'1
    connect \B \murax.system_uartCtrl.uartCtrl_1.rx.sampler_samples_0
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:355$33_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:355"
  cell $logic_and $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:355$34
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:355$33_Y
    connect \B \murax.system_uartCtrl.uartCtrl_1.rx.sampler_samples_2
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:355$34_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:355"
  cell $logic_and $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:355$36
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A 1'1
    connect \B \murax.system_uartCtrl.uartCtrl_1.rx.sampler_samples_1
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:355$36_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:355"
  cell $logic_and $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:355$37
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:355$36_Y
    connect \B \murax.system_uartCtrl.uartCtrl_1.rx.sampler_samples_2
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:355$37_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:313"
  cell $logic_not $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:313$22
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.sampler_value
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:313$22_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:396"
  cell $logic_not $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:396$42
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.sampler_value
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:396$42_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:355"
  cell $logic_or $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:355$32
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A 1'0
    connect \B $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:355$31_Y
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:355$32_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:355"
  cell $logic_or $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:355$35
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:355$32_Y
    connect \B $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:355$34_Y
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:355$35_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:355"
  cell $logic_or $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:355$38
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:355$35_Y
    connect \B $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:355$37_Y
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:355$38_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:340"
  cell $adff $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procdff$2511
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 3'000
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 3
    connect \ARST \murax.system_uartCtrl.uartCtrl_1.rx.resetCtrl_systemReset
    connect \CLK \murax.system_uartCtrl.uartCtrl_1.rx.io_mainClk
    connect \D $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1459_Y
    connect \Q \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_state
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:340"
  cell $adff $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procdff$2512
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 1'1
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \ARST \murax.system_uartCtrl.uartCtrl_1.rx.resetCtrl_systemReset
    connect \CLK \murax.system_uartCtrl.uartCtrl_1.rx.io_mainClk
    connect \D $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1444_Y
    connect \Q \murax.system_uartCtrl.uartCtrl_1.rx.sampler_samples_1
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:340"
  cell $adff $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procdff$2513
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 1'1
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \ARST \murax.system_uartCtrl.uartCtrl_1.rx.resetCtrl_systemReset
    connect \CLK \murax.system_uartCtrl.uartCtrl_1.rx.io_mainClk
    connect \D $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1442_Y
    connect \Q \murax.system_uartCtrl.uartCtrl_1.rx.sampler_samples_2
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:340"
  cell $adff $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procdff$2514
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 1'1
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \ARST \murax.system_uartCtrl.uartCtrl_1.rx.resetCtrl_systemReset
    connect \CLK \murax.system_uartCtrl.uartCtrl_1.rx.io_mainClk
    connect \D $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:355$38_Y
    connect \Q \murax.system_uartCtrl.uartCtrl_1.rx.sampler_value
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:340"
  cell $adff $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procdff$2515
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 1'0
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \ARST \murax.system_uartCtrl.uartCtrl_1.rx.resetCtrl_systemReset
    connect \CLK \murax.system_uartCtrl.uartCtrl_1.rx.io_mainClk
    connect \D \murax.system_uartCtrl.uartCtrl_1.rx.io_samplingTick
    connect \Q \murax.system_uartCtrl.uartCtrl_1.rx.sampler_tick
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:340"
  cell $adff $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procdff$2516
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 1'0
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \ARST \murax.system_uartCtrl.uartCtrl_1.rx.resetCtrl_systemReset
    connect \CLK \murax.system_uartCtrl.uartCtrl_1.rx.io_mainClk
    connect \D $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1432_Y
    connect \Q \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_validReg
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:409"
  cell $dff $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procdff$2517
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \CLK \murax.system_uartCtrl.uartCtrl_1.rx.io_mainClk
    connect \D $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1592_Y
    connect \Q \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_parity
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:409"
  cell $dff $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procdff$2518
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 3
    connect \CLK \murax.system_uartCtrl.uartCtrl_1.rx.io_mainClk
    connect \D $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1584_Y
    connect \Q \murax.system_uartCtrl.uartCtrl_1.rx.bitTimer_counter
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:409"
  cell $dff $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procdff$2519
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 3
    connect \CLK \murax.system_uartCtrl.uartCtrl_1.rx.io_mainClk
    connect \D $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1565_Y
    connect \Q \murax.system_uartCtrl.uartCtrl_1.rx.bitCounter_value
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:409"
  cell $dff $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procdff$2520
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 8
    connect \CLK \murax.system_uartCtrl.uartCtrl_1.rx.io_mainClk
    connect \D { $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1482_Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1490_Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1499_Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1509_Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1520_Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1532_Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1545_Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1559_Y }
    connect \Q \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_shifter
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:332"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1419
    parameter \WIDTH 1
    connect \A 1'0
    connect \B 1'1
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1420_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1419_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:331"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1421
    parameter \WIDTH 1
    connect \A 1'x
    connect \B $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1419_Y
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1422_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1421_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:331"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1424
    parameter \WIDTH 1
    connect \A 1'0
    connect \B $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$2\bitTimer_tick[0:0]
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1425_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1424_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:386"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1428
    parameter \WIDTH 1
    connect \A 1'0
    connect \B 1'1
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1429_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1428_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:385"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1430
    parameter \WIDTH 1
    connect \A 1'0
    connect \B $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1428_Y
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1431_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1430_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:358"
  cell $pmux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1432
    parameter \S_WIDTH 2
    parameter \WIDTH 1
    connect \A 1'0
    connect \B { $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1439_Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1430_Y }
    connect \S { $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1441_CMP $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1433_CMP }
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1432_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:358"
  cell $eq $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1433_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_state
    connect \B 3'011
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1433_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:375"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1435
    parameter \WIDTH 1
    connect \A 1'0
    connect \B 1'1
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1436_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1435_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:374"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1437
    parameter \WIDTH 1
    connect \A 1'0
    connect \B $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1435_Y
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1438_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1437_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:373"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1439
    parameter \WIDTH 1
    connect \A 1'0
    connect \B $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1437_Y
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1440_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1439_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:358"
  cell $eq $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1441_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_state
    connect \B 3'010
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1441_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:352"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1442
    parameter \WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.sampler_samples_2
    connect \B \murax.system_uartCtrl.uartCtrl_1.rx.sampler_samples_1
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1443_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1442_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:349"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1444
    parameter \WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.sampler_samples_1
    connect \B \murax.system_uartCtrl.uartCtrl_1.rx.sampler_samples_0
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1445_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1444_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:399"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1446
    parameter \WIDTH 3
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_state
    connect \B 3'000
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1447_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1446_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:396"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1449
    parameter \WIDTH 3
    connect \A $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1446_Y
    connect \B 3'000
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1450_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1449_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:395"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1451
    parameter \WIDTH 3
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_state
    connect \B $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1449_Y
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1452_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1451_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:386"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1455
    parameter \WIDTH 3
    connect \A 3'000
    connect \B 3'100
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1456_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1455_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:385"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1457
    parameter \WIDTH 3
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_state
    connect \B $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1455_Y
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1458_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1457_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:358"
  cell $pmux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1459
    parameter \S_WIDTH 4
    parameter \WIDTH 3
    connect \A $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1451_Y
    connect \B { $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1474_Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1471_Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1466_Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1457_Y }
    connect \S { $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1476_CMP $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1473_CMP $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1468_CMP $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1460_CMP }
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1459_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:358"
  cell $eq $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1460_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_state
    connect \B 3'011
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1460_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:375"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1462
    parameter \WIDTH 3
    connect \A 3'011
    connect \B 3'100
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1463_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1462_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:374"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1464
    parameter \WIDTH 3
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_state
    connect \B $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1462_Y
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1465_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1464_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:373"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1466
    parameter \WIDTH 3
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_state
    connect \B $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1464_Y
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1467_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1466_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:358"
  cell $eq $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1468_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_state
    connect \B 3'010
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1468_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:367"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1469
    parameter \WIDTH 3
    connect \A 3'010
    connect \B 3'000
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1470_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1469_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:365"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1471
    parameter \WIDTH 3
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_state
    connect \B $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1469_Y
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1472_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1471_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:358"
  cell $eq $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1473_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_state
    connect \B 3'001
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1473_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:360"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1474
    parameter \WIDTH 3
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_state
    connect \B 3'001
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1475_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1474_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:358"
  cell $eq $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1476_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_state
    connect \B 3'000
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1476_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:436"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1478
    parameter \WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_shifter [7]
    connect \B \murax.system_uartCtrl.uartCtrl_1.rx.sampler_value
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1479_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1478_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:436"
  cell $eq $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1479_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.bitCounter_value
    connect \B 3'111
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1479_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:435"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1480
    parameter \WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_shifter [7]
    connect \B $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1478_Y
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1481_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1480_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:422"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1482
    parameter \WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_shifter [7]
    connect \B $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1480_Y
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1483_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1482_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:422"
  cell $eq $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1483_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_state
    connect \B 3'010
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1483_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:436"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1486
    parameter \WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_shifter [6]
    connect \B \murax.system_uartCtrl.uartCtrl_1.rx.sampler_value
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1487_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1486_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:436"
  cell $eq $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1487_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.bitCounter_value
    connect \B 3'110
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1487_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:435"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1488
    parameter \WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_shifter [6]
    connect \B $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1486_Y
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1489_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1488_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:422"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1490
    parameter \WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_shifter [6]
    connect \B $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1488_Y
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1491_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1490_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:422"
  cell $eq $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1491_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_state
    connect \B 3'010
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1491_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:436"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1495
    parameter \WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_shifter [5]
    connect \B \murax.system_uartCtrl.uartCtrl_1.rx.sampler_value
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1496_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1495_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:436"
  cell $eq $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1496_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.bitCounter_value
    connect \B 3'101
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1496_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:435"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1497
    parameter \WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_shifter [5]
    connect \B $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1495_Y
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1498_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1497_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:422"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1499
    parameter \WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_shifter [5]
    connect \B $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1497_Y
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1500_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1499_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:422"
  cell $eq $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1500_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_state
    connect \B 3'010
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1500_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:436"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1505
    parameter \WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_shifter [4]
    connect \B \murax.system_uartCtrl.uartCtrl_1.rx.sampler_value
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1506_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1505_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:436"
  cell $eq $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1506_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.bitCounter_value
    connect \B 3'100
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1506_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:435"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1507
    parameter \WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_shifter [4]
    connect \B $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1505_Y
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1508_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1507_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:422"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1509
    parameter \WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_shifter [4]
    connect \B $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1507_Y
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1510_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1509_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:422"
  cell $eq $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1510_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_state
    connect \B 3'010
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1510_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:436"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1516
    parameter \WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_shifter [3]
    connect \B \murax.system_uartCtrl.uartCtrl_1.rx.sampler_value
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1517_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1516_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:436"
  cell $eq $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1517_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.bitCounter_value
    connect \B 3'011
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1517_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:435"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1518
    parameter \WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_shifter [3]
    connect \B $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1516_Y
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1519_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1518_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:422"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1520
    parameter \WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_shifter [3]
    connect \B $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1518_Y
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1521_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1520_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:422"
  cell $eq $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1521_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_state
    connect \B 3'010
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1521_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:436"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1528
    parameter \WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_shifter [2]
    connect \B \murax.system_uartCtrl.uartCtrl_1.rx.sampler_value
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1529_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1528_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:436"
  cell $eq $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1529_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.bitCounter_value
    connect \B 3'010
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1529_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:435"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1530
    parameter \WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_shifter [2]
    connect \B $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1528_Y
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1531_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1530_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:422"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1532
    parameter \WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_shifter [2]
    connect \B $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1530_Y
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1533_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1532_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:422"
  cell $eq $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1533_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_state
    connect \B 3'010
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1533_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:436"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1541
    parameter \WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_shifter [1]
    connect \B \murax.system_uartCtrl.uartCtrl_1.rx.sampler_value
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1542_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1541_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:436"
  cell $eq $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1542_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.bitCounter_value
    connect \B 3'001
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1542_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:435"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1543
    parameter \WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_shifter [1]
    connect \B $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1541_Y
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1544_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1543_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:422"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1545
    parameter \WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_shifter [1]
    connect \B $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1543_Y
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1546_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1545_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:422"
  cell $eq $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1546_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_state
    connect \B 3'010
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1546_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:436"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1555
    parameter \WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_shifter [0]
    connect \B \murax.system_uartCtrl.uartCtrl_1.rx.sampler_value
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1556_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1555_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:436"
  cell $eq $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1556_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.bitCounter_value
    connect \B 3'000
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1556_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:435"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1557
    parameter \WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_shifter [0]
    connect \B $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1555_Y
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1558_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1557_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:422"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1559
    parameter \WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_shifter [0]
    connect \B $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1557_Y
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1560_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1559_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:422"
  cell $eq $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1560_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_state
    connect \B 3'010
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1560_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:416"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1561
    parameter \WIDTH 3
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.bitCounter_value
    connect \B $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:417$46_Y
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1562_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1561_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:443"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1563
    parameter \WIDTH 3
    connect \A $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1561_Y
    connect \B 3'000
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1564_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1563_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:422"
  cell $pmux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1565
    parameter \S_WIDTH 3
    parameter \WIDTH 3
    connect \A $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1561_Y
    connect \B { $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1572_Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1569_Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1563_Y }
    connect \S { $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1574_CMP $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1571_CMP $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1566_CMP }
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1565_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:422"
  cell $eq $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1566_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_state
    connect \B 3'011
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1566_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:437"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1567
    parameter \WIDTH 3
    connect \A $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1561_Y
    connect \B 3'000
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1568_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1567_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:435"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1569
    parameter \WIDTH 3
    connect \A $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1561_Y
    connect \B $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1567_Y
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1570_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1569_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:422"
  cell $eq $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1571_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_state
    connect \B 3'010
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1571_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:429"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1572
    parameter \WIDTH 3
    connect \A $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1561_Y
    connect \B 3'000
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1573_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1572_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:422"
  cell $eq $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1574_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_state
    connect \B 3'001
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1574_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:412"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1575
    parameter \WIDTH 3
    connect \A $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$sub$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:411$45_Y
    connect \B 3'100
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1576_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1575_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:410"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1577
    parameter \WIDTH 3
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.bitTimer_counter
    connect \B $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1575_Y
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1578_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1577_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:424"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1582
    parameter \WIDTH 3
    connect \A $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1577_Y
    connect \B 3'001
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1583_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1582_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:422"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1584
    parameter \WIDTH 3
    connect \A $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1577_Y
    connect \B $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1582_Y
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1585_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1584_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:422"
  cell $eq $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1585_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_state
    connect \B 3'000
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1585_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:419"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1586
    parameter \WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_parity
    connect \B $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$xor$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:420$47_Y
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1587_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1586_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:429"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1590
    parameter \WIDTH 1
    connect \A $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1586_Y
    connect \B $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:431$48_Y
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1591_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1590_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:422"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1592
    parameter \WIDTH 1
    connect \A $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1586_Y
    connect \B $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1590_Y
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1593_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1592_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:422"
  cell $eq $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1593_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_state
    connect \B 3'001
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1593_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:411"
  cell $sub $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$sub$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:411$45
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 3
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.bitTimer_counter
    connect \B 3'001
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$sub$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:411$45_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:316"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:316$27
    parameter \WIDTH 1
    connect \A 1'1
    connect \B 1'0
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:316$26_Y
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:316$27_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:420"
  cell $xor $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$xor$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:420$47
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_parity
    connect \B \murax.system_uartCtrl.uartCtrl_1.rx.sampler_value
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$xor$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:420$47_Y
  end
  cell $not $techmap\murax.system_uartCtrl.uartCtrl_1.rx.bufferCC_3.$auto$proc_dff.cc:156:gen_dffsr$2413
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.bufferCC_3.io_initial
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.bufferCC_3.$auto$proc_dff.cc:152:gen_dffsr$2410
  end
  cell $not $techmap\murax.system_uartCtrl.uartCtrl_1.rx.bufferCC_3.$auto$proc_dff.cc:156:gen_dffsr$2420
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.rx.bufferCC_3.io_initial
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.bufferCC_3.$auto$proc_dff.cc:152:gen_dffsr$2417
  end
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.bufferCC_3.$auto$proc_dff.cc:163:gen_dffsr$2414
    parameter \WIDTH 1
    connect \A 1'0
    connect \B \murax.system_uartCtrl.uartCtrl_1.rx.bufferCC_3.io_initial
    connect \S \murax.system_uartCtrl.uartCtrl_1.rx.bufferCC_3.resetCtrl_systemReset
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.bufferCC_3.$auto$proc_dff.cc:153:gen_dffsr$2411
  end
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.bufferCC_3.$auto$proc_dff.cc:163:gen_dffsr$2421
    parameter \WIDTH 1
    connect \A 1'0
    connect \B \murax.system_uartCtrl.uartCtrl_1.rx.bufferCC_3.io_initial
    connect \S \murax.system_uartCtrl.uartCtrl_1.rx.bufferCC_3.resetCtrl_systemReset
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.bufferCC_3.$auto$proc_dff.cc:153:gen_dffsr$2418
  end
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.bufferCC_3.$auto$proc_dff.cc:170:gen_dffsr$2415
    parameter \WIDTH 1
    connect \A 1'0
    connect \B $techmap\murax.system_uartCtrl.uartCtrl_1.rx.bufferCC_3.$auto$proc_dff.cc:152:gen_dffsr$2410
    connect \S \murax.system_uartCtrl.uartCtrl_1.rx.bufferCC_3.resetCtrl_systemReset
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.bufferCC_3.$auto$proc_dff.cc:154:gen_dffsr$2412
  end
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.rx.bufferCC_3.$auto$proc_dff.cc:170:gen_dffsr$2422
    parameter \WIDTH 1
    connect \A 1'0
    connect \B $techmap\murax.system_uartCtrl.uartCtrl_1.rx.bufferCC_3.$auto$proc_dff.cc:152:gen_dffsr$2417
    connect \S \murax.system_uartCtrl.uartCtrl_1.rx.bufferCC_3.resetCtrl_systemReset
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.rx.bufferCC_3.$auto$proc_dff.cc:154:gen_dffsr$2419
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:318|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:95"
  cell $dffsr $techmap\murax.system_uartCtrl.uartCtrl_1.rx.bufferCC_3.$procdff$2409
    parameter \CLK_POLARITY 1'1
    parameter \CLR_POLARITY 1'1
    parameter \SET_POLARITY 1'1
    parameter \WIDTH 1
    connect \CLK \murax.system_uartCtrl.uartCtrl_1.rx.bufferCC_3.io_mainClk
    connect \CLR $techmap\murax.system_uartCtrl.uartCtrl_1.rx.bufferCC_3.$auto$proc_dff.cc:154:gen_dffsr$2412
    connect \D \murax.system_uartCtrl.uartCtrl_1.rx.bufferCC_3.io_dataIn
    connect \Q \murax.system_uartCtrl.uartCtrl_1.rx.bufferCC_3.buffers_0
    connect \SET $techmap\murax.system_uartCtrl.uartCtrl_1.rx.bufferCC_3.$auto$proc_dff.cc:153:gen_dffsr$2411
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:546|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:318|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:95"
  cell $dffsr $techmap\murax.system_uartCtrl.uartCtrl_1.rx.bufferCC_3.$procdff$2416
    parameter \CLK_POLARITY 1'1
    parameter \CLR_POLARITY 1'1
    parameter \SET_POLARITY 1'1
    parameter \WIDTH 1
    connect \CLK \murax.system_uartCtrl.uartCtrl_1.rx.bufferCC_3.io_mainClk
    connect \CLR $techmap\murax.system_uartCtrl.uartCtrl_1.rx.bufferCC_3.$auto$proc_dff.cc:154:gen_dffsr$2419
    connect \D \murax.system_uartCtrl.uartCtrl_1.rx.bufferCC_3.buffers_0
    connect \Q \murax.system_uartCtrl.uartCtrl_1.rx.bufferCC_3.buffers_1
    connect \SET $techmap\murax.system_uartCtrl.uartCtrl_1.rx.bufferCC_3.$auto$proc_dff.cc:153:gen_dffsr$2418
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:168"
  cell $add $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:168$10
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 3
    connect \A \murax.system_uartCtrl.uartCtrl_1.tx.clockDivider_counter_value
    connect \B \murax.system_uartCtrl.uartCtrl_1.tx._zz_4
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:168$10_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:249"
  cell $add $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:249$19
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 3
    connect \A \murax.system_uartCtrl.uartCtrl_1.tx.tickCounter_value
    connect \B 3'001
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:249$19_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:149"
  cell $eq $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:149$3
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.tx.tickCounter_value
    connect \B \murax.system_uartCtrl.uartCtrl_1.tx.io_configFrame_dataLength
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:149$3_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:152"
  cell $eq $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:152$4
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.tx.io_configFrame_stop
    connect \B 1'0
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:152$4_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:162"
  cell $eq $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:162$7
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.tx.clockDivider_counter_value
    connect \B 3'100
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:162$7_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:222"
  cell $eq $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:222$15
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.tx.io_configFrame_parity
    connect \B 2'00
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:222$15_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:237"
  cell $eq $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:237$16
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.tx.tickCounter_value
    connect \B \murax.system_uartCtrl.uartCtrl_1.tx._zz_6
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:237$16_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:259"
  cell $eq $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:259$21
    parameter \A_SIGNED 0
    parameter \A_WIDTH 2
    parameter \B_SIGNED 0
    parameter \B_WIDTH 2
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.tx.io_configFrame_parity
    connect \B 2'10
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:259$21_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:163"
  cell $logic_and $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:163$8
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.tx.clockDivider_counter_willOverflowIfInc
    connect \B \murax.system_uartCtrl.uartCtrl_1.tx.clockDivider_counter_willIncrement
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:163$8_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:210"
  cell $logic_and $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:210$14
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.tx.io_write_valid
    connect \B \murax.system_uartCtrl.uartCtrl_1.tx.clockDivider_tick
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:210$14_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:201"
  cell $adff $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procdff$2521
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 3'000
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 3
    connect \ARST \murax.system_uartCtrl.uartCtrl_1.tx.resetCtrl_systemReset
    connect \CLK \murax.system_uartCtrl.uartCtrl_1.tx.io_mainClk
    connect \D $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1598_Y
    connect \Q \murax.system_uartCtrl.uartCtrl_1.tx.clockDivider_counter_value
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:201"
  cell $adff $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procdff$2522
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 3'000
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 3
    connect \ARST \murax.system_uartCtrl.uartCtrl_1.tx.resetCtrl_systemReset
    connect \CLK \murax.system_uartCtrl.uartCtrl_1.tx.io_mainClk
    connect \D $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1636_Y
    connect \Q \murax.system_uartCtrl.uartCtrl_1.tx.stateMachine_state
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:201"
  cell $adff $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procdff$2523
    parameter \ARST_POLARITY 1'1
    parameter \ARST_VALUE 1'1
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \ARST \murax.system_uartCtrl.uartCtrl_1.tx.resetCtrl_systemReset
    connect \CLK \murax.system_uartCtrl.uartCtrl_1.tx.io_mainClk
    connect \D $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1621_Y
    connect \Q \murax.system_uartCtrl.uartCtrl_1.tx._zz_1
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:247"
  cell $dff $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procdff$2524
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 3
    connect \CLK \murax.system_uartCtrl.uartCtrl_1.tx.io_mainClk
    connect \D $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1664_Y
    connect \Q \murax.system_uartCtrl.uartCtrl_1.tx.tickCounter_value
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:247"
  cell $dff $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procdff$2525
    parameter \CLK_POLARITY 1'1
    parameter \WIDTH 1
    connect \CLK \murax.system_uartCtrl.uartCtrl_1.tx.io_mainClk
    connect \D $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1658_Y
    connect \Q \murax.system_uartCtrl.uartCtrl_1.tx.stateMachine_parity
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:156"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1595
    parameter \WIDTH 1
    connect \A 1'0
    connect \B 1'1
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1596_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1595_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:170"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1598
    parameter \WIDTH 3
    connect \A $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$1\clockDivider_counter_valueNext[2:0]
    connect \B 3'000
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1599_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1598_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:165"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1601
    parameter \WIDTH 3
    connect \A $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:168$10_Y
    connect \B 3'000
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1602_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1601_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:187"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1607
    parameter \WIDTH 1
    connect \A 1'0
    connect \B 1'1
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1608_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1607_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:186"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1609
    parameter \WIDTH 1
    connect \A 1'x
    connect \B $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1607_Y
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1610_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1609_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:178"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1611
    parameter \WIDTH 1
    connect \A 1'x
    connect \B $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1609_Y
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1612_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1611_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:178"
  cell $eq $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1612_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.tx.stateMachine_state
    connect \B 3'010
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1612_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:186"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1616
    parameter \WIDTH 1
    connect \A 1'0
    connect \B $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$3\io_write_ready[0:0]
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1617_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1616_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:178"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1618
    parameter \WIDTH 1
    connect \A 1'x
    connect \B $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1616_Y
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1619_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1618_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:178"
  cell $eq $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1619_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.tx.stateMachine_state
    connect \B 3'010
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1619_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:178"
  cell $pmux $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1621
    parameter \S_WIDTH 3
    parameter \WIDTH 1
    connect \A 1'1
    connect \B { 1'0 $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$shiftx$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:185$12_Y \murax.system_uartCtrl.uartCtrl_1.tx.stateMachine_parity }
    connect \S { $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1624_CMP $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1623_CMP $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1622_CMP }
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1621_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:178"
  cell $eq $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1622_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.tx.stateMachine_state
    connect \B 3'011
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1622_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:178"
  cell $eq $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1623_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.tx.stateMachine_state
    connect \B 3'010
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1623_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:178"
  cell $eq $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1624_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.tx.stateMachine_state
    connect \B 3'001
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1624_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:178"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1627
    parameter \WIDTH 1
    connect \A 1'0
    connect \B $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$2\io_write_ready[0:0]
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1628_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1627_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:178"
  cell $eq $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1628_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.tx.stateMachine_state
    connect \B 3'010
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1628_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:237"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1629
    parameter \WIDTH 3
    connect \A \murax.system_uartCtrl.uartCtrl_1.tx.stateMachine_state
    connect \B $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:238$17_Y
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1630_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1629_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:236"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1631
    parameter \WIDTH 3
    connect \A \murax.system_uartCtrl.uartCtrl_1.tx.stateMachine_state
    connect \B $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1629_Y
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1632_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1631_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:231"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1634
    parameter \WIDTH 3
    connect \A \murax.system_uartCtrl.uartCtrl_1.tx.stateMachine_state
    connect \B 3'100
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1635_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1634_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:208"
  cell $pmux $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1636
    parameter \S_WIDTH 4
    parameter \WIDTH 3
    connect \A $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1631_Y
    connect \B { $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1649_Y $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1646_Y $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1643_Y $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1634_Y }
    connect \S { $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1651_CMP $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1648_CMP $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1645_CMP $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1637_CMP }
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1636_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:208"
  cell $eq $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1637_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.tx.stateMachine_state
    connect \B 3'011
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1637_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:222"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1639
    parameter \WIDTH 3
    connect \A 3'011
    connect \B 3'100
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1640_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1639_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:221"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1641
    parameter \WIDTH 3
    connect \A \murax.system_uartCtrl.uartCtrl_1.tx.stateMachine_state
    connect \B $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1639_Y
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1642_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1641_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:220"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1643
    parameter \WIDTH 3
    connect \A \murax.system_uartCtrl.uartCtrl_1.tx.stateMachine_state
    connect \B $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1641_Y
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1644_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1643_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:208"
  cell $eq $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1645_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.tx.stateMachine_state
    connect \B 3'010
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1645_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:215"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1646
    parameter \WIDTH 3
    connect \A \murax.system_uartCtrl.uartCtrl_1.tx.stateMachine_state
    connect \B 3'010
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1647_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1646_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:208"
  cell $eq $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1648_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.tx.stateMachine_state
    connect \B 3'001
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1648_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:210"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1649
    parameter \WIDTH 3
    connect \A \murax.system_uartCtrl.uartCtrl_1.tx.stateMachine_state
    connect \B 3'001
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1650_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1649_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:208"
  cell $eq $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1651_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.tx.stateMachine_state
    connect \B 3'000
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1651_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:251"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1652
    parameter \WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.tx.stateMachine_parity
    connect \B $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$xor$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:252$20_Y
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1653_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1652_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:258"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1656
    parameter \WIDTH 1
    connect \A $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1652_Y
    connect \B $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:259$21_Y
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1657_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1656_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:254"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1658
    parameter \WIDTH 1
    connect \A $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1652_Y
    connect \B $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1656_Y
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1659_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1658_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:254"
  cell $eq $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1659_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.tx.stateMachine_state
    connect \B 3'001
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1659_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:248"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1660
    parameter \WIDTH 3
    connect \A \murax.system_uartCtrl.uartCtrl_1.tx.tickCounter_value
    connect \B $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:249$19_Y
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1661_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1660_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:271"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1662
    parameter \WIDTH 3
    connect \A $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1660_Y
    connect \B 3'000
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1663_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1662_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:254"
  cell $pmux $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1664
    parameter \S_WIDTH 3
    parameter \WIDTH 3
    connect \A $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1660_Y
    connect \B { $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1671_Y $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1668_Y $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1662_Y }
    connect \S { $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1673_CMP $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1670_CMP $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1665_CMP }
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1664_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:254"
  cell $eq $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1665_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.tx.stateMachine_state
    connect \B 3'011
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1665_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:265"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1666
    parameter \WIDTH 3
    connect \A $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1660_Y
    connect \B 3'000
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1667_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1666_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:264"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1668
    parameter \WIDTH 3
    connect \A $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1660_Y
    connect \B $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1666_Y
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1669_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1668_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:254"
  cell $eq $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1670_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.tx.stateMachine_state
    connect \B 3'010
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1670_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:258"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1671
    parameter \WIDTH 3
    connect \A $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1660_Y
    connect \B 3'000
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1672_CMP
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1671_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:254"
  cell $eq $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1673_CMP0
    parameter \A_SIGNED 0
    parameter \A_WIDTH 3
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.tx.stateMachine_state
    connect \B 3'001
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1673_CMP
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:185"
  cell $shiftx $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$shiftx$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:185$12
    parameter \A_SIGNED 0
    parameter \A_WIDTH 8
    parameter \B_SIGNED 0
    parameter \B_WIDTH 3
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.tx.io_write_payload
    connect \B \murax.system_uartCtrl.uartCtrl_1.tx.tickCounter_value
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$shiftx$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:185$12_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:152"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:152$5
    parameter \WIDTH 1
    connect \A 1'1
    connect \B 1'0
    connect \S $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:152$4_Y
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:152$5_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:238"
  cell $mux $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:238$17
    parameter \WIDTH 3
    connect \A 3'000
    connect \B 3'001
    connect \S \murax.system_uartCtrl.uartCtrl_1.tx.io_write_valid
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:238$17_Y
  end
  attribute \src "/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/basys3_toplevel.v:27|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4344|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3562|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:534|/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:252"
  cell $xor $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$xor$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:252$20
    parameter \A_SIGNED 0
    parameter \A_WIDTH 1
    parameter \B_SIGNED 0
    parameter \B_WIDTH 1
    parameter \Y_WIDTH 1
    connect \A \murax.system_uartCtrl.uartCtrl_1.tx.stateMachine_parity
    connect \B \murax.system_uartCtrl.uartCtrl_1.tx.stateMachine_txd
    connect \Y $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$xor$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:252$20_Y
  end
  connect \io_led \io_gpioA_write [5:0]
  connect \murax.io_asyncReset 1'0
  connect \murax.io_gpioA_read \io_gpioA_read
  connect \io_gpioA_write \murax.io_gpioA_write
  connect \io_gpioA_writeEnable \murax.io_gpioA_writeEnable
  connect \murax.io_jtag_tck \io_jtag_tck
  connect \murax.io_jtag_tdi \io_jtag_tdi
  connect \io_jtag_tdo \murax.io_jtag_tdo
  connect \murax.io_jtag_tms \io_jtag_tms
  connect \murax.io_mainClk \io_mainClk
  connect \murax.io_uart_rxd \io_uart_rxd
  connect \io_uart_txd \murax.io_uart_txd
  connect \murax._zz_107 $techmap\murax.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4196$809_Y
  connect \murax._zz_108 $techmap\murax.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4197$810_Y
  connect \murax._zz_109 32'11111111111111111111000000000000
  connect \murax._zz_110 32'11111111111100000000000000000000
  connect \murax._zz_1 6'111111
  connect \murax._zz_2 \murax._zz_24
  connect \murax._zz_11 \murax._zz_53 [7:0]
  connect \murax.io_jtag_tdo \murax._zz_43
  connect \murax.io_gpioA_write \murax._zz_71
  connect \murax.io_gpioA_writeEnable \murax._zz_72
  connect \murax.io_uart_txd \murax._zz_75
  connect \murax._zz_14 \murax._zz_92 [3:0]
  connect \murax._zz_15 \murax._zz_97 [3:0]
  connect \murax._zz_17 1'0
  connect \murax._zz_16 \murax._zz_102 [7:0]
  connect \murax.system_mainBusDecoder_logic_masterPipelined_cmd_valid \murax._zz_28
  connect \murax.system_mainBusDecoder_logic_masterPipelined_cmd_payload_wr \murax._zz_29
  connect \murax.system_mainBusDecoder_logic_masterPipelined_cmd_payload_address \murax._zz_30
  connect \murax.system_mainBusDecoder_logic_masterPipelined_cmd_payload_data \murax._zz_31
  connect \murax.system_mainBusDecoder_logic_masterPipelined_cmd_payload_mask \murax._zz_32
  connect \murax.system_mainBusDecoder_logic_hits_0 $techmap\murax.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4473$816_Y
  connect \murax.system_mainBusDecoder_logic_hits_1 $techmap\murax.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4485$827_Y
  connect \murax.system_mainBusDecoder_logic_noHit $techmap\murax.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4486$829_Y
  connect \murax.system_mainBusDecoder_logic_masterPipelined_rsp_valid $techmap\murax.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4487$832_Y
  connect \murax.system_mainBusDecoder_logic_masterPipelined_rsp_payload_data \murax._zz_18
  connect $techmap\murax.$1\resetCtrl_systemClkResetCounter[5:0] 6'000000
  connect $techmap\murax.$1\_zz_18[31:0] $techmap\murax.$procmux$1019_Y
  connect $techmap\murax.$0\_zz_18[31:0] $techmap\murax.$1\_zz_18[31:0]
  connect $techmap\murax.$procmux$1023_CMP \murax._zz_108
  connect $techmap\murax.$1\resetCtrl_mainClkResetUnbuffered[0:0] $techmap\murax.$procmux$1022_Y
  connect $techmap\murax.$0\resetCtrl_mainClkResetUnbuffered[0:0] $techmap\murax.$1\resetCtrl_mainClkResetUnbuffered[0:0]
  connect $techmap\murax.$procmux$1026_CMP \murax._zz_80
  connect $techmap\murax.$1\system_timerInterrupt[0:0] $techmap\murax.$procmux$1025_Y
  connect $techmap\murax.$0\system_timerInterrupt[0:0] $techmap\murax.$1\system_timerInterrupt[0:0]
  connect $techmap\murax.$procmux$1029_CMP \murax._zz_76
  connect $techmap\murax.$1\system_externalInterrupt[0:0] $techmap\murax.$procmux$1028_Y
  connect $techmap\murax.$0\system_externalInterrupt[0:0] $techmap\murax.$1\system_externalInterrupt[0:0]
  connect $techmap\murax.$procmux$1032_CMP $techmap\murax.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4478$825_Y
  connect $techmap\murax.$1\_zz_13[0:0] $techmap\murax.$procmux$1031_Y
  connect $techmap\murax.$procmux$1035_CMP $techmap\murax.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4478$825_Y
  connect $techmap\murax.$1\_zz_12[0:0] $techmap\murax.$procmux$1034_Y
  connect $techmap\murax.$procmux$1038_CMP $techmap\murax.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4478$825_Y
  connect $techmap\murax.$1\system_mainBusDecoder_logic_masterPipelined_cmd_ready[0:0] $techmap\murax.$procmux$1037_Y
  connect $techmap\murax.$0\system_mainBusDecoder_logic_masterPipelined_cmd_ready[0:0] $techmap\murax.$1\system_mainBusDecoder_logic_masterPipelined_cmd_ready[0:0]
  connect $techmap\murax.$0\_zz_13[0:0] $techmap\murax.$1\_zz_13[0:0]
  connect $techmap\murax.$0\_zz_12[0:0] $techmap\murax.$1\_zz_12[0:0]
  connect $techmap\murax.$procmux$1040_CMP \murax._zz_108
  connect $techmap\murax.$procmux$1042_CMP \murax._zz_19
  connect $techmap\murax.$0\resetCtrl_systemClkResetCounter[5:0] $techmap\murax.$procmux$1041_Y
  connect $techmap\murax.$procmux$1044_CMP \murax._zz_9
  connect $techmap\murax.$0\resetCtrl_systemReset[0:0] $techmap\murax.$procmux$1043_Y
  connect $techmap\murax.$0\resetCtrl_mainClkReset[0:0] \murax.resetCtrl_mainClkResetUnbuffered
  connect $techmap\murax.$procmux$1046_CMP \murax.system_mainBusDecoder_logic_noHit
  connect $techmap\murax.$0\system_mainBusDecoder_logic_rspNoHit[0:0] $techmap\murax.$procmux$1045_Y
  connect $techmap\murax.$procmux$1048_CMP \murax.system_mainBusDecoder_logic_masterPipelined_rsp_valid
  connect $techmap\murax.$procmux$1050_CMP $techmap\murax.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4523$841_Y
  connect $techmap\murax.$0\system_mainBusDecoder_logic_rspPending[0:0] $techmap\murax.$procmux$1049_Y
  connect $techmap\murax.$procmux$1053_CMP \murax._zz_107
  connect $techmap\murax.$0\_zz_4[0:0] $techmap\murax.$procmux$1052_Y
  connect $techmap\murax.$procmux$1056_CMP \murax._zz_107
  connect $techmap\murax.$0\_zz_3[0:0] $techmap\murax.$procmux$1055_Y
  connect $techmap\murax.$procmux$1058_CMP $techmap\murax.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4540$843_Y
  connect $techmap\murax.$0\system_mainBusDecoder_logic_rspSourceId[0:0] $techmap\murax.$procmux$1057_Y
  connect $techmap\murax.$procmux$1060_CMP \murax._zz_107
  connect $techmap\murax.$0\_zz_8[1:0] $techmap\murax.$procmux$1059_Y
  connect $techmap\murax.$procmux$1062_CMP \murax._zz_107
  connect $techmap\murax.$0\_zz_7[31:0] $techmap\murax.$procmux$1061_Y
  connect $techmap\murax.$procmux$1064_CMP \murax._zz_107
  connect $techmap\murax.$0\_zz_6[31:0] $techmap\murax.$procmux$1063_Y
  connect $techmap\murax.$procmux$1066_CMP \murax._zz_107
  connect $techmap\murax.$0\_zz_5[0:0] $techmap\murax.$procmux$1065_Y
  connect $techmap\murax.$0\_zz_9[0:0] \murax._zz_35
  connect $techmap\murax.$0\_zz_10[0:0] $techmap\murax.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:4553$846_Y
  connect \murax._zz_18 $techmap\murax.$procmux$1019_Y
  connect \murax.resetCtrl_mainClkResetUnbuffered $techmap\murax.$procmux$1022_Y
  connect \murax.system_timerInterrupt $techmap\murax.$procmux$1025_Y
  connect \murax.system_externalInterrupt $techmap\murax.$procmux$1028_Y
  connect \murax._zz_12 $techmap\murax.$procmux$1034_Y
  connect \murax._zz_13 $techmap\murax.$procmux$1031_Y
  connect \murax.system_mainBusDecoder_logic_masterPipelined_cmd_ready $techmap\murax.$procmux$1037_Y
  connect \murax.jtagBridge_1.io_jtag_tck \murax.io_jtag_tck
  connect \murax.jtagBridge_1.io_jtag_tdi \murax.io_jtag_tdi
  connect \murax._zz_43 \murax.jtagBridge_1.io_jtag_tdo
  connect \murax.jtagBridge_1.io_jtag_tms \murax.io_jtag_tms
  connect \murax.jtagBridge_1.io_mainClk \murax.io_mainClk
  connect \murax._zz_46 \murax.jtagBridge_1.io_remote_cmd_payload_fragment
  connect \murax._zz_45 \murax.jtagBridge_1.io_remote_cmd_payload_last
  connect \murax.jtagBridge_1.io_remote_cmd_ready \murax._zz_48
  connect \murax._zz_44 \murax.jtagBridge_1.io_remote_cmd_valid
  connect \murax.jtagBridge_1.io_remote_rsp_payload_data \murax._zz_51
  connect \murax.jtagBridge_1.io_remote_rsp_payload_error \murax._zz_50
  connect \murax._zz_47 \murax.jtagBridge_1.io_remote_rsp_ready
  connect \murax.jtagBridge_1.io_remote_rsp_valid \murax._zz_49
  connect \murax.jtagBridge_1.resetCtrl_mainClkReset \murax.resetCtrl_mainClkReset
  connect \murax.jtagBridge_1.io_remote_cmd_valid \murax.jtagBridge_1._zz_2
  connect \murax.jtagBridge_1.io_remote_rsp_ready \murax.jtagBridge_1._zz_3
  connect \murax.jtagBridge_1._zz_7 $techmap\murax.jtagBridge_1.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2986$629_Y
  connect \murax.jtagBridge_1._zz_8 $techmap\murax.jtagBridge_1.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2987$630_Y
  connect \murax.jtagBridge_1._zz_9 { 3'000 \murax.jtagBridge_1.jtag_idcodeArea_instructionId }
  connect \murax.jtagBridge_1._zz_10 { 2'00 \murax.jtagBridge_1.jtag_writeArea_instructionId }
  connect \murax.jtagBridge_1._zz_11 { 2'00 \murax.jtagBridge_1.jtag_readArea_instructionId }
  connect \murax.jtagBridge_1._zz_2 \murax.jtagBridge_1.system_cmd_valid
  connect \murax.jtagBridge_1.io_remote_cmd_payload_last \murax.jtagBridge_1.system_cmd_payload_last
  connect \murax.jtagBridge_1.io_remote_cmd_payload_fragment \murax.jtagBridge_1.system_cmd_payload_fragment
  connect \murax.jtagBridge_1._zz_3 1'1
  connect \murax.jtagBridge_1.jtag_tap_fsm_stateNext \murax.jtagBridge_1._zz_1
  connect \murax.jtagBridge_1.jtag_idcodeArea_instructionId 1'1
  connect \murax.jtagBridge_1.jtag_idcodeArea_instructionHit $techmap\murax.jtagBridge_1.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3088$649_Y
  connect \murax.jtagBridge_1.jtag_writeArea_instructionId 2'10
  connect \murax.jtagBridge_1.jtag_writeArea_instructionHit $techmap\murax.jtagBridge_1.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3090$650_Y
  connect \murax.jtagBridge_1.jtag_writeArea_source_payload_last \murax.jtagBridge_1.io_jtag_tms
  connect \murax.jtagBridge_1.jtag_writeArea_source_payload_fragment \murax.jtagBridge_1.io_jtag_tdi
  connect \murax.jtagBridge_1.system_cmd_valid \murax.jtagBridge_1._zz_4
  connect \murax.jtagBridge_1.system_cmd_payload_last \murax.jtagBridge_1._zz_5
  connect \murax.jtagBridge_1.system_cmd_payload_fragment \murax.jtagBridge_1._zz_6
  connect \murax.jtagBridge_1.jtag_readArea_instructionId 2'11
  connect \murax.jtagBridge_1.jtag_readArea_instructionHit $techmap\murax.jtagBridge_1.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3106$653_Y
  connect $techmap\murax.jtagBridge_1.$1\jtag_tap_fsm_state[3:0] 4'1000
  connect $techmap\murax.jtagBridge_1.$1\_zz_1[3:0] $techmap\murax.jtagBridge_1.$procmux$938_Y
  connect $techmap\murax.jtagBridge_1.$0\_zz_1[3:0] $techmap\murax.jtagBridge_1.$1\_zz_1[3:0]
  connect $techmap\murax.jtagBridge_1.$procmux$957_CMP \murax.jtagBridge_1._zz_7
  connect $techmap\murax.jtagBridge_1.$procmux$959_CMP \murax.jtagBridge_1.jtag_readArea_instructionHit
  connect $techmap\murax.jtagBridge_1.$5\io_jtag_tdo[0:0] $techmap\murax.jtagBridge_1.$procmux$958_Y
  connect $techmap\murax.jtagBridge_1.$procmux$962_CMP \murax.jtagBridge_1.jtag_readArea_instructionHit
  connect $techmap\murax.jtagBridge_1.$4\io_jtag_tdo[0:0] $techmap\murax.jtagBridge_1.$procmux$961_Y
  connect $techmap\murax.jtagBridge_1.$procmux$966_CMP \murax.jtagBridge_1._zz_8
  connect $techmap\murax.jtagBridge_1.$procmux$968_CMP \murax.jtagBridge_1.jtag_idcodeArea_instructionHit
  connect $techmap\murax.jtagBridge_1.$3\io_jtag_tdo[0:0] $techmap\murax.jtagBridge_1.$procmux$967_Y
  connect $techmap\murax.jtagBridge_1.$procmux$971_CMP \murax.jtagBridge_1.jtag_idcodeArea_instructionHit
  connect $techmap\murax.jtagBridge_1.$2\io_jtag_tdo[0:0] $techmap\murax.jtagBridge_1.$procmux$970_Y
  connect $techmap\murax.jtagBridge_1.$1\io_jtag_tdo[0:0] $techmap\murax.jtagBridge_1.$procmux$975_Y
  connect $techmap\murax.jtagBridge_1.$0\io_jtag_tdo[0:0] $techmap\murax.jtagBridge_1.$4\io_jtag_tdo[0:0]
  connect $techmap\murax.jtagBridge_1.$procmux$980_CMP $techmap\murax.jtagBridge_1.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3094$652_Y
  connect $techmap\murax.jtagBridge_1.$procmux$982_CMP \murax.jtagBridge_1.jtag_writeArea_instructionHit
  connect $techmap\murax.jtagBridge_1.$2\jtag_writeArea_source_valid[0:0] $techmap\murax.jtagBridge_1.$procmux$981_Y
  connect $techmap\murax.jtagBridge_1.$procmux$985_CMP \murax.jtagBridge_1.jtag_writeArea_instructionHit
  connect $techmap\murax.jtagBridge_1.$1\jtag_writeArea_source_valid[0:0] $techmap\murax.jtagBridge_1.$procmux$984_Y
  connect $techmap\murax.jtagBridge_1.$0\jtag_writeArea_source_valid[0:0] $techmap\murax.jtagBridge_1.$1\jtag_writeArea_source_valid[0:0]
  connect $techmap\murax.jtagBridge_1.$procmux$987_CMP $techmap\murax.jtagBridge_1.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3111$655_Y
  connect $techmap\murax.jtagBridge_1.$0\system_rsp_payload_data[31:0] $techmap\murax.jtagBridge_1.$procmux$986_Y
  connect $techmap\murax.jtagBridge_1.$procmux$989_CMP $techmap\murax.jtagBridge_1.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3111$655_Y
  connect $techmap\murax.jtagBridge_1.$0\system_rsp_payload_error[0:0] $techmap\murax.jtagBridge_1.$procmux$988_Y
  connect $techmap\murax.jtagBridge_1.$procmux$991_CMP \murax.jtagBridge_1._zz_2
  connect $techmap\murax.jtagBridge_1.$procmux$993_CMP $techmap\murax.jtagBridge_1.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3111$655_Y
  connect $techmap\murax.jtagBridge_1.$0\system_rsp_valid[0:0] $techmap\murax.jtagBridge_1.$procmux$992_Y
  connect $techmap\murax.jtagBridge_1.$0\jtag_tap_fsm_state[3:0] \murax.jtagBridge_1.jtag_tap_fsm_stateNext
  connect $techmap\murax.jtagBridge_1.$procmux$995_CMP $techmap\murax.jtagBridge_1.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3146$660_Y
  connect $techmap\murax.jtagBridge_1.$procmux$997_CMP \murax.jtagBridge_1._zz_7
  connect $techmap\murax.jtagBridge_1.$procmux$999_CMP \murax.jtagBridge_1.jtag_readArea_instructionHit
  connect $techmap\murax.jtagBridge_1.$0\jtag_readArea_shifter[33:0] $techmap\murax.jtagBridge_1.$procmux$998_Y
  connect $techmap\murax.jtagBridge_1.$procmux$1001_CMP \murax.jtagBridge_1._zz_8
  connect $techmap\murax.jtagBridge_1.$procmux$1003_CMP \murax.jtagBridge_1.jtag_idcodeArea_instructionHit
  connect $techmap\murax.jtagBridge_1.$procmux$1005_CMP $techmap\murax.jtagBridge_1.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3141$659_Y
  connect $techmap\murax.jtagBridge_1.$0\jtag_idcodeArea_shifter[31:0] $techmap\murax.jtagBridge_1.$procmux$1004_Y
  connect $techmap\murax.jtagBridge_1.$0\jtag_tap_bypass[0:0] $techmap\murax.jtagBridge_1.$procmux$1006_Y
  connect $techmap\murax.jtagBridge_1.$0\jtag_tap_instructionShift[3:0] $techmap\murax.jtagBridge_1.$procmux$1010_Y
  connect $techmap\murax.jtagBridge_1.$procmux$1017_CMP $techmap\murax.jtagBridge_1.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3141$659_Y
  connect $techmap\murax.jtagBridge_1.$0\jtag_tap_instruction[3:0] $techmap\murax.jtagBridge_1.$procmux$1016_Y
  connect \murax.jtagBridge_1._zz_1 $techmap\murax.jtagBridge_1.$procmux$938_Y
  connect \murax.jtagBridge_1.io_jtag_tdo $techmap\murax.jtagBridge_1.$procmux$961_Y
  connect \murax.jtagBridge_1.jtag_writeArea_source_valid $techmap\murax.jtagBridge_1.$procmux$984_Y
  connect \murax.systemDebugger_1.io_mainClk \murax.io_mainClk
  connect \murax._zz_53 \murax.systemDebugger_1.io_mem_cmd_payload_address
  connect \murax._zz_54 \murax.systemDebugger_1.io_mem_cmd_payload_data
  connect \murax._zz_56 \murax.systemDebugger_1.io_mem_cmd_payload_size
  connect \murax._zz_55 \murax.systemDebugger_1.io_mem_cmd_payload_wr
  connect \murax.systemDebugger_1.io_mem_cmd_ready \murax._zz_33
  connect \murax._zz_52 \murax.systemDebugger_1.io_mem_cmd_valid
  connect \murax.systemDebugger_1.io_mem_rsp_payload \murax._zz_34
  connect \murax.systemDebugger_1.io_mem_rsp_valid \murax._zz_10
  connect \murax.systemDebugger_1.io_remote_cmd_payload_fragment \murax._zz_46
  connect \murax.systemDebugger_1.io_remote_cmd_payload_last \murax._zz_45
  connect \murax._zz_48 \murax.systemDebugger_1.io_remote_cmd_ready
  connect \murax.systemDebugger_1.io_remote_cmd_valid \murax._zz_44
  connect \murax._zz_51 \murax.systemDebugger_1.io_remote_rsp_payload_data
  connect \murax._zz_50 \murax.systemDebugger_1.io_remote_rsp_payload_error
  connect \murax.systemDebugger_1.io_remote_rsp_ready \murax._zz_47
  connect \murax._zz_49 \murax.systemDebugger_1.io_remote_rsp_valid
  connect \murax.systemDebugger_1.resetCtrl_mainClkReset \murax.resetCtrl_mainClkReset
  connect \murax.systemDebugger_1.io_mem_cmd_valid \murax.systemDebugger_1._zz_2
  connect \murax.systemDebugger_1._zz_3 $techmap\murax.systemDebugger_1.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3187$663_Y
  connect \murax.systemDebugger_1._zz_4 \murax.systemDebugger_1._zz_1 [64]
  connect \murax.systemDebugger_1.dispatcher_header \murax.systemDebugger_1.dispatcher_headerShifter
  connect \murax.systemDebugger_1.io_remote_cmd_ready $techmap\murax.systemDebugger_1.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3190$664_Y
  connect \murax.systemDebugger_1._zz_1 \murax.systemDebugger_1.dispatcher_dataShifter
  connect \murax.systemDebugger_1.io_mem_cmd_payload_address \murax.systemDebugger_1._zz_1 [31:0]
  connect \murax.systemDebugger_1.io_mem_cmd_payload_data \murax.systemDebugger_1._zz_1 [63:32]
  connect \murax.systemDebugger_1.io_mem_cmd_payload_wr \murax.systemDebugger_1._zz_4
  connect \murax.systemDebugger_1.io_mem_cmd_payload_size \murax.systemDebugger_1._zz_1 [66:65]
  connect \murax.systemDebugger_1._zz_2 $techmap\murax.systemDebugger_1.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3196$666_Y
  connect \murax.systemDebugger_1.io_remote_rsp_valid \murax.systemDebugger_1.io_mem_rsp_valid
  connect \murax.systemDebugger_1.io_remote_rsp_payload_error 1'0
  connect \murax.systemDebugger_1.io_remote_rsp_payload_data \murax.systemDebugger_1.io_mem_rsp_payload
  connect $techmap\murax.systemDebugger_1.$procmux$1376_CMP \murax.systemDebugger_1._zz_3
  connect $techmap\murax.systemDebugger_1.$procmux$1378_CMP \murax.systemDebugger_1.io_remote_cmd_payload_last
  connect $techmap\murax.systemDebugger_1.$procmux$1380_CMP \murax.systemDebugger_1.io_remote_cmd_valid
  connect $techmap\murax.systemDebugger_1.$0\dispatcher_counter[2:0] $techmap\murax.systemDebugger_1.$procmux$1379_Y
  connect $techmap\murax.systemDebugger_1.$procmux$1382_CMP $techmap\murax.systemDebugger_1.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3209$669_Y
  connect $techmap\murax.systemDebugger_1.$procmux$1384_CMP \murax.systemDebugger_1._zz_3
  connect $techmap\murax.systemDebugger_1.$procmux$1386_CMP \murax.systemDebugger_1.io_remote_cmd_payload_last
  connect $techmap\murax.systemDebugger_1.$procmux$1388_CMP \murax.systemDebugger_1.io_remote_cmd_valid
  connect $techmap\murax.systemDebugger_1.$procmux$1390_CMP $techmap\murax.systemDebugger_1.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3219$670_Y
  connect $techmap\murax.systemDebugger_1.$0\dispatcher_headerLoaded[0:0] $techmap\murax.systemDebugger_1.$procmux$1389_Y
  connect $techmap\murax.systemDebugger_1.$procmux$1392_CMP \murax.systemDebugger_1.io_remote_cmd_payload_last
  connect $techmap\murax.systemDebugger_1.$procmux$1394_CMP \murax.systemDebugger_1.io_remote_cmd_valid
  connect $techmap\murax.systemDebugger_1.$procmux$1396_CMP $techmap\murax.systemDebugger_1.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3219$670_Y
  connect $techmap\murax.systemDebugger_1.$0\dispatcher_dataLoaded[0:0] $techmap\murax.systemDebugger_1.$procmux$1395_Y
  connect $techmap\murax.systemDebugger_1.$procmux$1399_CMP \murax.systemDebugger_1._zz_3
  connect $techmap\murax.systemDebugger_1.$procmux$1401_CMP \murax.systemDebugger_1.io_remote_cmd_valid
  connect $techmap\murax.systemDebugger_1.$0\dispatcher_headerShifter[7:0] $techmap\murax.systemDebugger_1.$procmux$1400_Y
  connect $techmap\murax.systemDebugger_1.$procmux$1404_CMP \murax.systemDebugger_1._zz_3
  connect $techmap\murax.systemDebugger_1.$procmux$1406_CMP \murax.systemDebugger_1.io_remote_cmd_valid
  connect $techmap\murax.systemDebugger_1.$0\dispatcher_dataShifter[66:0] $techmap\murax.systemDebugger_1.$procmux$1405_Y
  connect \murax._zz_40 \murax.system_cpu.dBus_cmd_payload_address
  connect \murax._zz_41 \murax.system_cpu.dBus_cmd_payload_data
  connect \murax._zz_42 \murax.system_cpu.dBus_cmd_payload_size
  connect \murax._zz_39 \murax.system_cpu.dBus_cmd_payload_wr
  connect \murax.system_cpu.dBus_cmd_ready \murax._zz_4
  connect \murax._zz_38 \murax.system_cpu.dBus_cmd_valid
  connect \murax.system_cpu.dBus_rsp_data \murax._zz_27
  connect \murax.system_cpu.dBus_rsp_error \murax._zz_26
  connect \murax.system_cpu.dBus_rsp_ready \murax._zz_25
  connect \murax.system_cpu.debug_bus_cmd_payload_address \murax._zz_11
  connect \murax.system_cpu.debug_bus_cmd_payload_data \murax._zz_54
  connect \murax.system_cpu.debug_bus_cmd_payload_wr \murax._zz_55
  connect \murax._zz_33 \murax.system_cpu.debug_bus_cmd_ready
  connect \murax.system_cpu.debug_bus_cmd_valid \murax._zz_52
  connect \murax._zz_34 \murax.system_cpu.debug_bus_rsp_data
  connect \murax._zz_35 \murax.system_cpu.debug_resetOut
  connect \murax.system_cpu.externalInterrupt \murax.system_externalInterrupt
  connect \murax._zz_37 \murax.system_cpu.iBus_cmd_payload_pc
  connect \murax.system_cpu.iBus_cmd_ready \murax._zz_20
  connect \murax._zz_36 \murax.system_cpu.iBus_cmd_valid
  connect \murax.system_cpu.iBus_rsp_error \murax._zz_22
  connect \murax.system_cpu.iBus_rsp_inst \murax._zz_23
  connect \murax.system_cpu.iBus_rsp_ready \murax._zz_21
  connect \murax.system_cpu.io_mainClk \murax.io_mainClk
  connect \murax.system_cpu.resetCtrl_mainClkReset \murax.resetCtrl_mainClkReset
  connect \murax.system_cpu.resetCtrl_systemReset \murax.resetCtrl_systemReset
  connect \murax.system_cpu.timerInterrupt \murax.system_timerInterrupt
  connect \murax.system_cpu.iBus_cmd_valid \murax.system_cpu._zz_135
  connect \murax.system_cpu.dBus_cmd_payload_size \murax.system_cpu._zz_136
  connect \murax.system_cpu.dBus_cmd_payload_address \murax.system_cpu._zz_137
  connect \murax.system_cpu.debug_bus_cmd_ready \murax.system_cpu._zz_138
  connect \murax.system_cpu._zz_140 $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1470$133_Y
  connect \murax.system_cpu._zz_141 $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1471$136_Y
  connect \murax.system_cpu._zz_142 $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1472$137_Y
  connect \murax.system_cpu._zz_143 \murax.system_cpu.debug_bus_cmd_payload_address [2]
  connect \murax.system_cpu._zz_144 $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1474$138_Y
  connect \murax.system_cpu._zz_145 $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1475$140_Y
  connect \murax.system_cpu._zz_146 \murax.system_cpu.writeBack_INSTRUCTION [13:12]
  connect \murax.system_cpu._zz_147 \murax.system_cpu.execute_INSTRUCTION [13]
  connect \murax.system_cpu._zz_148 $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1478$142_Y
  connect \murax.system_cpu._zz_149 $techmap\murax.system_cpu.$sub$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1479$143_Y
  connect \murax.system_cpu._zz_150 $techmap\murax.system_cpu.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1480$145_Y
  connect \murax.system_cpu._zz_151 { 1'0 \murax.system_cpu._zz_150 }
  connect \murax.system_cpu._zz_152 \murax.system_cpu.execute_INSTRUCTION [19:15]
  connect \murax.system_cpu._zz_153 { 27'000000000000000000000000000 \murax.system_cpu._zz_152 }
  connect \murax.system_cpu._zz_154 \murax.system_cpu._zz_91 [0]
  connect \murax.system_cpu._zz_155 \murax.system_cpu._zz_91 [1]
  connect \murax.system_cpu._zz_156 \murax.system_cpu._zz_91 [2]
  connect \murax.system_cpu._zz_157 \murax.system_cpu._zz_91 [3]
  connect \murax.system_cpu._zz_158 \murax.system_cpu._zz_91 [8]
  connect \murax.system_cpu._zz_159 \murax.system_cpu._zz_91 [11]
  connect \murax.system_cpu._zz_160 \murax.system_cpu._zz_91 [12]
  connect \murax.system_cpu._zz_161 \murax.system_cpu._zz_91 [15]
  connect \murax.system_cpu._zz_162 \murax.system_cpu._zz_91 [18]
  connect \murax.system_cpu._zz_163 \murax.system_cpu._zz_91 [21]
  connect \murax.system_cpu._zz_164 \murax.system_cpu.execute_SRC_LESS
  connect \murax.system_cpu._zz_165 \murax.system_cpu.decode_INSTRUCTION [31:20]
  connect \murax.system_cpu._zz_166 { \murax.system_cpu.decode_INSTRUCTION [31:25] \murax.system_cpu.decode_INSTRUCTION [11:7] }
  connect \murax.system_cpu._zz_167 $techmap\murax.system_cpu.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1497$146_Y
  connect \murax.system_cpu._zz_168 $techmap\murax.system_cpu.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1498$147_Y
  connect \murax.system_cpu._zz_169 \murax.system_cpu.execute_SRC1
  connect \murax.system_cpu._zz_170 $techmap\murax.system_cpu.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1500$149_Y
  connect \murax.system_cpu._zz_171 $techmap\murax.system_cpu.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1501$150_Y
  connect \murax.system_cpu._zz_172 { \murax.system_cpu._zz_171 [1] \murax.system_cpu._zz_171 [1] \murax.system_cpu._zz_171 [1] \murax.system_cpu._zz_171 [1] \murax.system_cpu._zz_171 [1] \murax.system_cpu._zz_171 [1] \murax.system_cpu._zz_171 [1] \murax.system_cpu._zz_171 [1] \murax.system_cpu._zz_171 [1] \murax.system_cpu._zz_171 [1] \murax.system_cpu._zz_171 [1] \murax.system_cpu._zz_171 [1] \murax.system_cpu._zz_171 [1] \murax.system_cpu._zz_171 [1] \murax.system_cpu._zz_171 [1] \murax.system_cpu._zz_171 [1] \murax.system_cpu._zz_171 [1] \murax.system_cpu._zz_171 [1] \murax.system_cpu._zz_171 [1] \murax.system_cpu._zz_171 [1] \murax.system_cpu._zz_171 [1] \murax.system_cpu._zz_171 [1] \murax.system_cpu._zz_171 [1] \murax.system_cpu._zz_171 [1] \murax.system_cpu._zz_171 [1] \murax.system_cpu._zz_171 [1] \murax.system_cpu._zz_171 [1] \murax.system_cpu._zz_171 [1] \murax.system_cpu._zz_171 [1] \murax.system_cpu._zz_171 [1] \murax.system_cpu._zz_171 }
  connect \murax.system_cpu._zz_173 2'01
  connect \murax.system_cpu._zz_174 2'00
  connect \murax.system_cpu._zz_175 $techmap\murax.system_cpu.$sshr$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1505$151_Y [31:0]
  connect \murax.system_cpu._zz_176 { $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1506$153_Y \murax.system_cpu.execute_LightShifterPlugin_shiftInput }
  connect \murax.system_cpu._zz_177 { \murax.system_cpu.execute_INSTRUCTION [31] \murax.system_cpu.execute_INSTRUCTION [19:12] \murax.system_cpu.execute_INSTRUCTION [20] \murax.system_cpu.execute_INSTRUCTION [30:21] }
  connect \murax.system_cpu._zz_178 \murax.system_cpu.execute_INSTRUCTION [31:20]
  connect \murax.system_cpu._zz_179 { \murax.system_cpu.execute_INSTRUCTION [31] \murax.system_cpu.execute_INSTRUCTION [7] \murax.system_cpu.execute_INSTRUCTION [30:25] \murax.system_cpu.execute_INSTRUCTION [11:8] }
  connect \murax.system_cpu._zz_180 \murax.system_cpu.execute_CsrPlugin_writeData [7]
  connect \murax.system_cpu._zz_181 \murax.system_cpu.execute_CsrPlugin_writeData [3]
  connect \murax.system_cpu._zz_182 \murax.system_cpu.execute_CsrPlugin_writeData [3]
  connect \murax.system_cpu._zz_183 \murax.system_cpu.execute_CsrPlugin_writeData [11]
  connect \murax.system_cpu._zz_184 \murax.system_cpu.execute_CsrPlugin_writeData [7]
  connect \murax.system_cpu._zz_185 \murax.system_cpu.execute_CsrPlugin_writeData [3]
  connect \murax.system_cpu._zz_186 \murax.system_cpu._zz_80
  connect \murax.system_cpu._zz_187 $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1517$154_Y
  connect \murax.system_cpu._zz_188 4096
  connect \murax.system_cpu._zz_189 $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1519$156_Y
  connect \murax.system_cpu._zz_190 $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1520$158_Y
  connect \murax.system_cpu._zz_191 $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1521$160_Y
  connect \murax.system_cpu._zz_192 1'0
  connect \murax.system_cpu._zz_193 1'0
  connect \murax.system_cpu._zz_194 $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1524$161_Y
  connect \murax.system_cpu._zz_195 { $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1525$163_Y $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1525$162_Y \murax.system_cpu._zz_202 \murax.system_cpu._zz_203 \murax.system_cpu._zz_204 }
  connect \murax.system_cpu._zz_196 \murax.system_cpu._zz_96
  connect \murax.system_cpu._zz_197 { $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1527$164_Y \murax.system_cpu._zz_207 \murax.system_cpu._zz_208 }
  connect \murax.system_cpu._zz_198 \murax.system_cpu._zz_97
  connect \murax.system_cpu._zz_199 { \murax.system_cpu._zz_95 $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1529$165_Y }
  connect \murax.system_cpu._zz_200 { \murax.system_cpu._zz_97 \murax.system_cpu._zz_96 \murax.system_cpu._zz_95 }
  connect \murax.system_cpu._zz_201 3'000
  connect \murax.system_cpu._zz_202 $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1532$166_Y
  connect \murax.system_cpu._zz_203 $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1533$167_Y
  connect \murax.system_cpu._zz_204 { $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1534$168_Y \murax.system_cpu._zz_218 \murax.system_cpu._zz_219 \murax.system_cpu._zz_220 }
  connect \murax.system_cpu._zz_205 $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1535$169_Y
  connect \murax.system_cpu._zz_206 4112
  connect \murax.system_cpu._zz_207 $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1537$171_Y
  connect \murax.system_cpu._zz_208 $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1538$173_Y
  connect \murax.system_cpu._zz_209 $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1539$174_Y
  connect \murax.system_cpu._zz_210 32
  connect \murax.system_cpu._zz_211 $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1541$176_Y
  connect \murax.system_cpu._zz_212 $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1542$177_Y
  connect \murax.system_cpu._zz_213 $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1543$178_Y
  connect \murax.system_cpu._zz_214 $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1544$179_Y
  connect \murax.system_cpu._zz_215 { \murax.system_cpu._zz_230 \murax.system_cpu._zz_231 }
  connect \murax.system_cpu._zz_216 \murax.system_cpu._zz_92
  connect \murax.system_cpu._zz_217 1'0
  connect \murax.system_cpu._zz_218 $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1548$180_Y
  connect \murax.system_cpu._zz_219 $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1549$181_Y
  connect \murax.system_cpu._zz_220 { \murax.system_cpu._zz_235 \murax.system_cpu._zz_236 \murax.system_cpu._zz_237 }
  connect \murax.system_cpu._zz_221 8208
  connect \murax.system_cpu._zz_222 80
  connect \murax.system_cpu._zz_223 1073741872
  connect \murax.system_cpu._zz_224 $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1554$182_Y
  connect \murax.system_cpu._zz_225 8208
  connect \murax.system_cpu._zz_226 $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1556$183_Y
  connect \murax.system_cpu._zz_227 64
  connect \murax.system_cpu._zz_228 $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1558$184_Y
  connect \murax.system_cpu._zz_229 16384
  connect \murax.system_cpu._zz_230 $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1560$186_Y
  connect \murax.system_cpu._zz_231 $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1561$188_Y
  connect \murax.system_cpu._zz_232 $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1562$190_Y
  connect \murax.system_cpu._zz_233 \murax.system_cpu._zz_94
  connect \murax.system_cpu._zz_234 1'0
  connect \murax.system_cpu._zz_235 $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1565$192_Y
  connect \murax.system_cpu._zz_236 $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1566$193_Y
  connect \murax.system_cpu._zz_237 { $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1567$194_Y \murax.system_cpu._zz_244 \murax.system_cpu._zz_245 \murax.system_cpu._zz_246 }
  connect \murax.system_cpu._zz_238 $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1568$195_Y
  connect \murax.system_cpu._zz_239 20496
  connect \murax.system_cpu._zz_240 $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1570$197_Y
  connect \murax.system_cpu._zz_241 $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1571$199_Y
  connect \murax.system_cpu._zz_242 { $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1572$201_Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1572$200_Y }
  connect \murax.system_cpu._zz_243 2'00
  connect \murax.system_cpu._zz_244 $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1574$202_Y
  connect \murax.system_cpu._zz_245 $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1575$203_Y
  connect \murax.system_cpu._zz_246 { $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1576$204_Y \murax.system_cpu._zz_256 \murax.system_cpu._zz_257 \murax.system_cpu._zz_258 }
  connect \murax.system_cpu._zz_247 1073754196
  connect \murax.system_cpu._zz_248 28756
  connect \murax.system_cpu._zz_249 $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1579$205_Y
  connect \murax.system_cpu._zz_250 8192
  connect \murax.system_cpu._zz_251 $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1581$206_Y
  connect \murax.system_cpu._zz_252 4096
  connect \murax.system_cpu._zz_253 $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1583$208_Y
  connect \murax.system_cpu._zz_254 \murax.system_cpu._zz_93
  connect \murax.system_cpu._zz_255 1'0
  connect \murax.system_cpu._zz_256 $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1586$211_Y
  connect \murax.system_cpu._zz_257 $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1587$213_Y
  connect \murax.system_cpu._zz_258 { $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1588$216_Y $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1588$215_Y $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1588$214_Y }
  connect \murax.system_cpu._zz_259 68
  connect \murax.system_cpu._zz_260 $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1590$217_Y
  connect \murax.system_cpu._zz_261 0
  connect \murax.system_cpu._zz_262 $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1592$219_Y
  connect \murax.system_cpu._zz_263 $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1593$221_Y
  connect \murax.system_cpu._zz_264 { $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1594$225_Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1594$223_Y }
  connect \murax.system_cpu._zz_265 2'00
  connect \murax.system_cpu._zz_266 { $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1596$228_Y $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1596$226_Y \murax.system_cpu._zz_92 \murax.system_cpu._zz_273 }
  connect \murax.system_cpu._zz_267 4'0000
  connect \murax.system_cpu._zz_268 4176
  connect \murax.system_cpu._zz_269 8272
  connect \murax.system_cpu._zz_270 68
  connect \murax.system_cpu._zz_271 $techmap\murax.system_cpu.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1601$229_Y
  connect \murax.system_cpu._zz_272 0
  connect \murax.system_cpu._zz_273 $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1603$231_Y
  connect \murax.system_cpu.memory_MEMORY_ADDRESS_LOW \murax.system_cpu.execute_to_memory_MEMORY_ADDRESS_LOW
  connect \murax.system_cpu.execute_MEMORY_ADDRESS_LOW \murax.system_cpu._zz_64
  connect \murax.system_cpu.memory_FORMAL_PC_NEXT \murax.system_cpu.execute_to_memory_FORMAL_PC_NEXT
  connect \murax.system_cpu.execute_FORMAL_PC_NEXT \murax.system_cpu.decode_to_execute_FORMAL_PC_NEXT
  connect \murax.system_cpu.decode_FORMAL_PC_NEXT \murax.system_cpu.fetch_to_decode_FORMAL_PC_NEXT
  connect \murax.system_cpu.fetch_FORMAL_PC_NEXT \murax.system_cpu.prefetch_to_fetch_FORMAL_PC_NEXT
  connect \murax.system_cpu.prefetch_FORMAL_PC_NEXT \murax.system_cpu._zz_71
  connect \murax.system_cpu.fetch_INSTRUCTION \murax.system_cpu._zz_68
  connect \murax.system_cpu.decode_SHIFT_CTRL \murax.system_cpu._zz_1
  connect \murax.system_cpu._zz_2 \murax.system_cpu._zz_3
  connect \murax.system_cpu.decode_BRANCH_CTRL \murax.system_cpu._zz_4
  connect \murax.system_cpu._zz_5 \murax.system_cpu._zz_6
  connect \murax.system_cpu.memory_MEMORY_READ_DATA \murax.system_cpu._zz_63
  connect \murax.system_cpu.decode_RS1 \murax.system_cpu._zz_40
  connect \murax.system_cpu.execute_BRANCH_DO \murax.system_cpu._zz_21
  connect \murax.system_cpu.decode_ALU_CTRL \murax.system_cpu._zz_7
  connect \murax.system_cpu._zz_8 \murax.system_cpu._zz_9
  connect \murax.system_cpu.decode_SRC_LESS_UNSIGNED \murax.system_cpu._zz_51
  connect \murax.system_cpu.execute_BRANCH_CALC \murax.system_cpu._zz_19
  connect \murax.system_cpu.decode_SRC1 \murax.system_cpu._zz_32
  connect \murax.system_cpu.execute_ENV_CTRL \murax.system_cpu._zz_10
  connect \murax.system_cpu._zz_11 \murax.system_cpu._zz_12
  connect \murax.system_cpu.decode_ENV_CTRL \murax.system_cpu._zz_13
  connect \murax.system_cpu._zz_14 \murax.system_cpu._zz_15
  connect \murax.system_cpu.decode_MEMORY_ENABLE \murax.system_cpu._zz_54
  connect \murax.system_cpu.decode_SRC2 \murax.system_cpu._zz_29
  connect \murax.system_cpu.decode_RS2 \murax.system_cpu._zz_39
  connect \murax.system_cpu.decode_ALU_BITWISE_CTRL \murax.system_cpu._zz_16
  connect \murax.system_cpu._zz_17 \murax.system_cpu._zz_18
  connect \murax.system_cpu.decode_CSR_READ_OPCODE \murax.system_cpu._zz_59
  connect \murax.system_cpu.writeBack_REGFILE_WRITE_DATA \murax.system_cpu.memory_to_writeBack_REGFILE_WRITE_DATA
  connect \murax.system_cpu.execute_REGFILE_WRITE_DATA \murax.system_cpu._zz_34
  connect \murax.system_cpu.execute_BYPASSABLE_MEMORY_STAGE \murax.system_cpu.decode_to_execute_BYPASSABLE_MEMORY_STAGE
  connect \murax.system_cpu.decode_BYPASSABLE_MEMORY_STAGE \murax.system_cpu._zz_42
  connect \murax.system_cpu.decode_SRC_USE_SUB_LESS \murax.system_cpu._zz_46
  connect \murax.system_cpu.decode_BYPASSABLE_EXECUTE_STAGE \murax.system_cpu._zz_49
  connect \murax.system_cpu.decode_CSR_WRITE_OPCODE \murax.system_cpu._zz_60
  connect \murax.system_cpu.memory_PC \murax.system_cpu.execute_to_memory_PC
  connect \murax.system_cpu.fetch_PC \murax.system_cpu.prefetch_to_fetch_PC
  connect \murax.system_cpu.decode_IS_EBREAK \murax.system_cpu._zz_48
  connect \murax.system_cpu.execute_IS_EBREAK \murax.system_cpu.decode_to_execute_IS_EBREAK
  connect \murax.system_cpu.memory_BRANCH_CALC \murax.system_cpu.execute_to_memory_BRANCH_CALC
  connect \murax.system_cpu.memory_BRANCH_DO \murax.system_cpu.execute_to_memory_BRANCH_DO
  connect \murax.system_cpu.execute_PC \murax.system_cpu.decode_to_execute_PC
  connect \murax.system_cpu.execute_RS1 \murax.system_cpu.decode_to_execute_RS1
  connect \murax.system_cpu.execute_BRANCH_CTRL \murax.system_cpu._zz_20
  connect \murax.system_cpu.decode_RS2_USE \murax.system_cpu._zz_55
  connect \murax.system_cpu.decode_RS1_USE \murax.system_cpu._zz_57
  connect \murax.system_cpu.execute_REGFILE_WRITE_VALID \murax.system_cpu.decode_to_execute_REGFILE_WRITE_VALID
  connect \murax.system_cpu.execute_BYPASSABLE_EXECUTE_STAGE \murax.system_cpu.decode_to_execute_BYPASSABLE_EXECUTE_STAGE
  connect \murax.system_cpu.memory_REGFILE_WRITE_VALID \murax.system_cpu.execute_to_memory_REGFILE_WRITE_VALID
  connect \murax.system_cpu.memory_BYPASSABLE_MEMORY_STAGE \murax.system_cpu.execute_to_memory_BYPASSABLE_MEMORY_STAGE
  connect \murax.system_cpu.writeBack_REGFILE_WRITE_VALID \murax.system_cpu.memory_to_writeBack_REGFILE_WRITE_VALID
  connect \murax.system_cpu.execute_SHIFT_CTRL \murax.system_cpu._zz_22
  connect \murax.system_cpu.execute_SRC_LESS_UNSIGNED \murax.system_cpu.decode_to_execute_SRC_LESS_UNSIGNED
  connect \murax.system_cpu.execute_SRC_USE_SUB_LESS \murax.system_cpu.decode_to_execute_SRC_USE_SUB_LESS
  connect \murax.system_cpu._zz_26 \murax.system_cpu.decode_PC
  connect \murax.system_cpu._zz_27 \murax.system_cpu.decode_RS2
  connect \murax.system_cpu.decode_SRC2_CTRL \murax.system_cpu._zz_28
  connect \murax.system_cpu._zz_30 \murax.system_cpu.decode_RS1
  connect \murax.system_cpu.decode_SRC1_CTRL \murax.system_cpu._zz_31
  connect \murax.system_cpu.execute_SRC_ADD_SUB \murax.system_cpu._zz_25
  connect \murax.system_cpu.execute_SRC_LESS \murax.system_cpu._zz_23
  connect \murax.system_cpu.execute_ALU_CTRL \murax.system_cpu._zz_33
  connect \murax.system_cpu.execute_SRC2 \murax.system_cpu.decode_to_execute_SRC2
  connect \murax.system_cpu.execute_ALU_BITWISE_CTRL \murax.system_cpu._zz_35
  connect \murax.system_cpu._zz_36 \murax.system_cpu.writeBack_INSTRUCTION
  connect \murax.system_cpu._zz_37 \murax.system_cpu.writeBack_REGFILE_WRITE_VALID
  connect \murax.system_cpu.decode_INSTRUCTION_ANTICIPATED \murax.system_cpu._zz_67
  connect \murax.system_cpu.execute_CSR_READ_OPCODE \murax.system_cpu.decode_to_execute_CSR_READ_OPCODE
  connect \murax.system_cpu.execute_CSR_WRITE_OPCODE \murax.system_cpu.decode_to_execute_CSR_WRITE_OPCODE
  connect \murax.system_cpu.memory_REGFILE_WRITE_DATA \murax.system_cpu.execute_to_memory_REGFILE_WRITE_DATA
  connect \murax.system_cpu.execute_SRC1 \murax.system_cpu.decode_to_execute_SRC1
  connect \murax.system_cpu.execute_IS_CSR \murax.system_cpu.decode_to_execute_IS_CSR
  connect \murax.system_cpu.decode_IS_CSR \murax.system_cpu._zz_56
  connect \murax.system_cpu.memory_ENV_CTRL \murax.system_cpu._zz_61
  connect \murax.system_cpu.prefetch_PC_CALC_WITHOUT_JUMP \murax.system_cpu._zz_73
  connect \murax.system_cpu.writeBack_MEMORY_ENABLE \murax.system_cpu.memory_to_writeBack_MEMORY_ENABLE
  connect \murax.system_cpu.writeBack_MEMORY_ADDRESS_LOW \murax.system_cpu.memory_to_writeBack_MEMORY_ADDRESS_LOW
  connect \murax.system_cpu.writeBack_MEMORY_READ_DATA \murax.system_cpu.memory_to_writeBack_MEMORY_READ_DATA
  connect \murax.system_cpu.memory_INSTRUCTION \murax.system_cpu.execute_to_memory_INSTRUCTION
  connect \murax.system_cpu.memory_MEMORY_ENABLE \murax.system_cpu.execute_to_memory_MEMORY_ENABLE
  connect \murax.system_cpu.execute_RS2 \murax.system_cpu.decode_to_execute_RS2
  connect \murax.system_cpu.execute_SRC_ADD \murax.system_cpu._zz_24
  connect \murax.system_cpu.execute_INSTRUCTION \murax.system_cpu.decode_to_execute_INSTRUCTION
  connect \murax.system_cpu.execute_ALIGNEMENT_FAULT \murax.system_cpu._zz_65
  connect \murax.system_cpu.execute_MEMORY_ENABLE \murax.system_cpu.decode_to_execute_MEMORY_ENABLE
  connect \murax.system_cpu._zz_66 \murax.system_cpu.fetch_INSTRUCTION
  connect \murax.system_cpu._zz_69 \murax.system_cpu.prefetch_PC
  connect \murax.system_cpu.prefetch_PC \murax.system_cpu._zz_72
  connect \murax.system_cpu.writeBack_PC \murax.system_cpu.memory_to_writeBack_PC
  connect \murax.system_cpu.writeBack_INSTRUCTION \murax.system_cpu.memory_to_writeBack_INSTRUCTION
  connect \murax.system_cpu.decode_PC \murax.system_cpu.fetch_to_decode_PC
  connect \murax.system_cpu.decode_INSTRUCTION \murax.system_cpu.fetch_to_decode_INSTRUCTION
  connect \murax.system_cpu.prefetch_arbitration_flushAll 1'0
  connect \murax.system_cpu.prefetch_arbitration_redoIt 1'0
  connect \murax.system_cpu.fetch_arbitration_haltByOther 1'0
  connect \murax.system_cpu.fetch_arbitration_flushAll 1'0
  connect \murax.system_cpu.fetch_arbitration_redoIt 1'0
  connect \murax.system_cpu.decode_arbitration_haltByOther 1'0
  connect \murax.system_cpu.decode_arbitration_redoIt 1'0
  connect \murax.system_cpu.execute_arbitration_haltByOther 1'0
  connect \murax.system_cpu.execute_arbitration_redoIt 1'0
  connect \murax.system_cpu.memory_arbitration_haltByOther 1'0
  connect \murax.system_cpu.memory_arbitration_flushAll 1'0
  connect \murax.system_cpu.memory_arbitration_redoIt 1'0
  connect \murax.system_cpu.writeBack_arbitration_haltItself 1'0
  connect \murax.system_cpu.writeBack_arbitration_haltByOther 1'0
  connect \murax.system_cpu.writeBack_arbitration_flushAll 1'0
  connect \murax.system_cpu.writeBack_arbitration_redoIt 1'0
  connect \murax.system_cpu.prefetch_PcManagerSimplePlugin_pcPlus4 $techmap\murax.system_cpu.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1930$292_Y
  connect \murax.system_cpu.prefetch_PcManagerSimplePlugin_jump_pcLoad_valid $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1931$293_Y
  connect \murax.system_cpu._zz_79 { \murax.system_cpu._zz_74 \murax.system_cpu._zz_78 }
  connect \murax.system_cpu._zz_80 \murax.system_cpu._zz_148 [1]
  connect \murax.system_cpu.prefetch_PcManagerSimplePlugin_jump_pcLoad_payload \murax.system_cpu._zz_139
  connect \murax.system_cpu._zz_73 \murax.system_cpu.prefetch_PcManagerSimplePlugin_pcReg
  connect \murax.system_cpu._zz_72 \murax.system_cpu.prefetch_PcManagerSimplePlugin_pcReg
  connect \murax.system_cpu._zz_71 $techmap\murax.system_cpu.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1937$294_Y
  connect \murax.system_cpu._zz_135 $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1938$302_Y
  connect \murax.system_cpu.iBus_cmd_payload_pc \murax.system_cpu._zz_69
  connect \murax.system_cpu._zz_67 $techmap\murax.system_cpu.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1947$304_Y
  connect \murax.system_cpu._zz_65 1'0
  connect \murax.system_cpu.dBus_cmd_valid $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1949$311_Y
  connect \murax.system_cpu.dBus_cmd_payload_wr \murax.system_cpu.execute_INSTRUCTION [5]
  connect \murax.system_cpu._zz_137 \murax.system_cpu.execute_SRC_ADD
  connect \murax.system_cpu._zz_136 \murax.system_cpu.execute_INSTRUCTION [13:12]
  connect \murax.system_cpu.dBus_cmd_payload_data \murax.system_cpu._zz_83
  connect \murax.system_cpu._zz_64 \murax.system_cpu._zz_137 [1:0]
  connect \murax.system_cpu.execute_DBusSimplePlugin_formalMask $techmap\murax.system_cpu.$sshl$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1983$314_Y
  connect \murax.system_cpu._zz_63 \murax.system_cpu.dBus_rsp_data
  connect \murax.system_cpu._zz_85 $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2002$317_Y
  connect \murax.system_cpu._zz_87 $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2031$320_Y
  connect \murax.system_cpu.CsrPlugin_misa_base 2'01
  connect \murax.system_cpu.CsrPlugin_misa_extensions 26'00000000000000000001000010
  connect \murax.system_cpu.CsrPlugin_mtvec 32'10000000000000000000000000100000
  connect \murax.system_cpu.CsrPlugin_pipelineLiberator_done $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2076$328_Y
  connect \murax.system_cpu.CsrPlugin_interruptRequest $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2077$334_Y
  connect \murax.system_cpu.CsrPlugin_interrupt $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2078$335_Y
  connect \murax.system_cpu.CsrPlugin_exception 1'0
  connect \murax.system_cpu.CsrPlugin_writeBackWasWfi 1'0
  connect \murax.system_cpu.contextSwitching \murax.system_cpu._zz_74
  connect \murax.system_cpu._zz_60 $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2093$345_Y
  connect \murax.system_cpu._zz_59 $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2094$346_Y
  connect \murax.system_cpu.execute_CsrPlugin_writeSrc $techmap\murax.system_cpu.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2132$350_Y
  connect \murax.system_cpu.execute_CsrPlugin_writeInstruction $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2144$357_Y
  connect \murax.system_cpu.execute_CsrPlugin_readInstruction $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2145$359_Y
  connect \murax.system_cpu.execute_CsrPlugin_writeEnable $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2146$360_Y
  connect \murax.system_cpu.execute_CsrPlugin_readEnable $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2147$362_Y
  connect \murax.system_cpu.execute_CsrPlugin_csrAddress \murax.system_cpu.execute_INSTRUCTION [31:20]
  connect \murax.system_cpu._zz_92 $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2149$364_Y
  connect \murax.system_cpu._zz_93 $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2150$366_Y
  connect \murax.system_cpu._zz_94 $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2151$368_Y
  connect \murax.system_cpu._zz_95 $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2152$370_Y
  connect \murax.system_cpu._zz_96 $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2153$372_Y
  connect \murax.system_cpu._zz_97 $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2154$374_Y
  connect \murax.system_cpu._zz_91 { $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2155$379_Y $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2155$377_Y $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2155$376_Y $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2155$375_Y \murax.system_cpu._zz_193 \murax.system_cpu._zz_194 \murax.system_cpu._zz_195 }
  connect \murax.system_cpu._zz_57 \murax.system_cpu._zz_154
  connect \murax.system_cpu._zz_56 \murax.system_cpu._zz_155
  connect \murax.system_cpu._zz_55 \murax.system_cpu._zz_156
  connect \murax.system_cpu._zz_54 \murax.system_cpu._zz_157
  connect \murax.system_cpu._zz_98 \murax.system_cpu._zz_91 [5:4]
  connect \murax.system_cpu._zz_53 \murax.system_cpu._zz_98
  connect \murax.system_cpu._zz_99 \murax.system_cpu._zz_91 [7:6]
  connect \murax.system_cpu._zz_52 \murax.system_cpu._zz_99
  connect \murax.system_cpu._zz_51 \murax.system_cpu._zz_158
  connect \murax.system_cpu._zz_100 \murax.system_cpu._zz_91 [10:9]
  connect \murax.system_cpu._zz_50 \murax.system_cpu._zz_100
  connect \murax.system_cpu._zz_49 \murax.system_cpu._zz_159
  connect \murax.system_cpu._zz_48 \murax.system_cpu._zz_160
  connect \murax.system_cpu._zz_101 \murax.system_cpu._zz_91 [14:13]
  connect \murax.system_cpu._zz_47 \murax.system_cpu._zz_101
  connect \murax.system_cpu._zz_46 \murax.system_cpu._zz_161
  connect \murax.system_cpu._zz_102 \murax.system_cpu._zz_91 [17:16]
  connect \murax.system_cpu._zz_45 \murax.system_cpu._zz_102
  connect \murax.system_cpu._zz_44 \murax.system_cpu._zz_162
  connect \murax.system_cpu._zz_103 \murax.system_cpu._zz_91 [20:19]
  connect \murax.system_cpu._zz_43 \murax.system_cpu._zz_103
  connect \murax.system_cpu._zz_42 \murax.system_cpu._zz_163
  connect \murax.system_cpu._zz_104 \murax.system_cpu._zz_91 [23:22]
  connect \murax.system_cpu._zz_41 \murax.system_cpu._zz_104
  connect \murax.system_cpu.decode_RegFilePlugin_regFileReadAddress1 \murax.system_cpu.decode_INSTRUCTION_ANTICIPATED [19:15]
  connect \murax.system_cpu.decode_RegFilePlugin_regFileReadAddress2 \murax.system_cpu.decode_INSTRUCTION_ANTICIPATED [24:20]
  connect \murax.system_cpu._zz_105 1'1
  connect \murax.system_cpu.decode_RegFilePlugin_rs1Data \murax.system_cpu._zz_133
  connect \murax.system_cpu._zz_106 1'1
  connect \murax.system_cpu.decode_RegFilePlugin_rs2Data \murax.system_cpu._zz_134
  connect \murax.system_cpu._zz_40 \murax.system_cpu.decode_RegFilePlugin_rs1Data
  connect \murax.system_cpu._zz_39 \murax.system_cpu.decode_RegFilePlugin_rs2Data
  connect \murax.system_cpu.writeBack_RegFilePlugin_regFileWrite_payload_address \murax.system_cpu._zz_36 [11:7]
  connect \murax.system_cpu.writeBack_RegFilePlugin_regFileWrite_payload_data \murax.system_cpu._zz_62
  connect \murax.system_cpu._zz_34 \murax.system_cpu._zz_108
  connect \murax.system_cpu._zz_32 \murax.system_cpu._zz_109
  connect \murax.system_cpu._zz_110 \murax.system_cpu._zz_165 [11]
  connect \murax.system_cpu._zz_112 \murax.system_cpu._zz_166 [11]
  connect \murax.system_cpu._zz_29 \murax.system_cpu._zz_114
  connect \murax.system_cpu.execute_SrcPlugin_addSub \murax.system_cpu._zz_167
  connect \murax.system_cpu.execute_SrcPlugin_less $techmap\murax.system_cpu.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2311$393_Y
  connect \murax.system_cpu._zz_25 \murax.system_cpu.execute_SrcPlugin_addSub
  connect \murax.system_cpu._zz_24 \murax.system_cpu.execute_SrcPlugin_addSub
  connect \murax.system_cpu._zz_23 \murax.system_cpu.execute_SrcPlugin_less
  connect \murax.system_cpu.execute_LightShifterPlugin_isShift $techmap\murax.system_cpu.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2315$394_Y
  connect \murax.system_cpu.execute_LightShifterPlugin_amplitude $techmap\murax.system_cpu.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2316$395_Y
  connect \murax.system_cpu.execute_LightShifterPlugin_shiftInput $techmap\murax.system_cpu.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2317$396_Y
  connect \murax.system_cpu.execute_LightShifterPlugin_done $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2318$397_Y
  connect \murax.system_cpu.execute_BranchPlugin_eq $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2379$418_Y
  connect \murax.system_cpu._zz_120 \murax.system_cpu.execute_INSTRUCTION [14:12]
  connect \murax.system_cpu._zz_21 \murax.system_cpu._zz_122
  connect \murax.system_cpu.execute_BranchPlugin_branch_src1 $techmap\murax.system_cpu.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2411$428_Y
  connect \murax.system_cpu._zz_123 \murax.system_cpu._zz_177 [19]
  connect \murax.system_cpu._zz_125 \murax.system_cpu._zz_178 [11]
  connect \murax.system_cpu._zz_127 \murax.system_cpu._zz_179 [11]
  connect \murax.system_cpu.execute_BranchPlugin_branch_src2 \murax.system_cpu._zz_129
  connect \murax.system_cpu.execute_BranchPlugin_branchAdder $techmap\murax.system_cpu.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2489$433_Y
  connect \murax.system_cpu._zz_19 { \murax.system_cpu.execute_BranchPlugin_branchAdder [31:1] $techmap\murax.system_cpu.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2490$435_Y }
  connect \murax.system_cpu._zz_78 $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2491$436_Y
  connect \murax.system_cpu.DebugPlugin_isPipBusy $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2492$437_Y
  connect \murax.system_cpu.debug_resetOut \murax.system_cpu._zz_132
  connect \murax.system_cpu._zz_18 \murax.system_cpu.decode_ALU_BITWISE_CTRL
  connect \murax.system_cpu._zz_16 \murax.system_cpu._zz_41
  connect \murax.system_cpu._zz_35 \murax.system_cpu.decode_to_execute_ALU_BITWISE_CTRL
  connect \murax.system_cpu._zz_15 \murax.system_cpu.decode_ENV_CTRL
  connect \murax.system_cpu._zz_12 \murax.system_cpu.execute_ENV_CTRL
  connect \murax.system_cpu._zz_13 \murax.system_cpu._zz_43
  connect \murax.system_cpu._zz_10 \murax.system_cpu.decode_to_execute_ENV_CTRL
  connect \murax.system_cpu._zz_61 \murax.system_cpu.execute_to_memory_ENV_CTRL
  connect \murax.system_cpu._zz_31 \murax.system_cpu._zz_53
  connect \murax.system_cpu._zz_9 \murax.system_cpu.decode_ALU_CTRL
  connect \murax.system_cpu._zz_7 \murax.system_cpu._zz_47
  connect \murax.system_cpu._zz_33 \murax.system_cpu.decode_to_execute_ALU_CTRL
  connect \murax.system_cpu._zz_28 \murax.system_cpu._zz_45
  connect \murax.system_cpu._zz_6 \murax.system_cpu.decode_BRANCH_CTRL
  connect \murax.system_cpu._zz_4 \murax.system_cpu._zz_52
  connect \murax.system_cpu._zz_20 \murax.system_cpu.decode_to_execute_BRANCH_CTRL
  connect \murax.system_cpu._zz_3 \murax.system_cpu.decode_SHIFT_CTRL
  connect \murax.system_cpu._zz_1 \murax.system_cpu._zz_50
  connect \murax.system_cpu._zz_22 \murax.system_cpu.decode_to_execute_SHIFT_CTRL
  connect \murax.system_cpu.prefetch_arbitration_isFlushed $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2524$444_Y
  connect \murax.system_cpu.fetch_arbitration_isFlushed $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2525$448_Y
  connect \murax.system_cpu.decode_arbitration_isFlushed $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2526$451_Y
  connect \murax.system_cpu.execute_arbitration_isFlushed $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2527$453_Y
  connect \murax.system_cpu.memory_arbitration_isFlushed $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2528$454_Y
  connect \murax.system_cpu.writeBack_arbitration_isFlushed \murax.system_cpu.writeBack_arbitration_flushAll
  connect \murax.system_cpu.prefetch_arbitration_isStuckByOthers $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2530$460_Y
  connect \murax.system_cpu.prefetch_arbitration_isStuck $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2531$461_Y
  connect \murax.system_cpu.prefetch_arbitration_isMoving $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2532$464_Y
  connect \murax.system_cpu.prefetch_arbitration_isFiring $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2533$468_Y
  connect \murax.system_cpu.fetch_arbitration_isStuckByOthers $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2534$473_Y
  connect \murax.system_cpu.fetch_arbitration_isStuck $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2535$474_Y
  connect \murax.system_cpu.fetch_arbitration_isMoving $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2536$477_Y
  connect \murax.system_cpu.fetch_arbitration_isFiring $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2537$481_Y
  connect \murax.system_cpu.decode_arbitration_isStuckByOthers $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2538$485_Y
  connect \murax.system_cpu.decode_arbitration_isStuck $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2539$486_Y
  connect \murax.system_cpu.decode_arbitration_isMoving $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2540$489_Y
  connect \murax.system_cpu.decode_arbitration_isFiring $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2541$493_Y
  connect \murax.system_cpu.execute_arbitration_isStuckByOthers $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2542$496_Y
  connect \murax.system_cpu.execute_arbitration_isStuck $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2543$497_Y
  connect \murax.system_cpu.execute_arbitration_isMoving $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2544$500_Y
  connect \murax.system_cpu.execute_arbitration_isFiring $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2545$504_Y
  connect \murax.system_cpu.memory_arbitration_isStuckByOthers $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2546$506_Y
  connect \murax.system_cpu.memory_arbitration_isStuck $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2547$507_Y
  connect \murax.system_cpu.memory_arbitration_isMoving $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2548$510_Y
  connect \murax.system_cpu.memory_arbitration_isFiring $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2549$514_Y
  connect \murax.system_cpu.writeBack_arbitration_isStuckByOthers $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2550$515_Y
  connect \murax.system_cpu.writeBack_arbitration_isStuck $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2551$516_Y
  connect \murax.system_cpu.writeBack_arbitration_isMoving $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2552$519_Y
  connect \murax.system_cpu.writeBack_arbitration_isFiring $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2553$523_Y
  connect $techmap\murax.system_cpu.$1\CsrPlugin_mcycle[63:0] 64'0000010001001101000000101000010001000111110101110010011001010011
  connect $techmap\murax.system_cpu.$1\CsrPlugin_minstret[63:0] 64'1110011011100100100100010001110100010111101010011111010100011101
  connect $techmap\murax.system_cpu.$procmux$1675_CMP \murax.system_cpu._zz_38
  connect $techmap\murax.system_cpu.$0$memwr$\RegFilePlugin_regFile$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1606$132_EN[31:0]$235 $techmap\murax.system_cpu.$procmux$1674_Y
  connect $techmap\murax.system_cpu.$procmux$1677_CMP \murax.system_cpu._zz_38
  connect $techmap\murax.system_cpu.$0$memwr$\RegFilePlugin_regFile$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1606$132_DATA[31:0]$234 $techmap\murax.system_cpu.$procmux$1676_Y
  connect $techmap\murax.system_cpu.$procmux$1679_CMP \murax.system_cpu._zz_38
  connect $techmap\murax.system_cpu.$0$memwr$\RegFilePlugin_regFile$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1606$132_ADDR[4:0]$233 $techmap\murax.system_cpu.$procmux$1678_Y
  connect $techmap\murax.system_cpu.$procmux$1681_CMP \murax.system_cpu._zz_105
  connect $techmap\murax.system_cpu.$0\_zz_133[31:0] $techmap\murax.system_cpu.$procmux$1680_Y
  connect $techmap\murax.system_cpu.$procmux$1683_CMP \murax.system_cpu._zz_106
  connect $techmap\murax.system_cpu.$0\_zz_134[31:0] $techmap\murax.system_cpu.$procmux$1682_Y
  connect $techmap\murax.system_cpu.$1\_zz_139[31:0] $techmap\murax.system_cpu.$procmux$1685_Y
  connect $techmap\murax.system_cpu.$0\_zz_139[31:0] $techmap\murax.system_cpu.$1\_zz_139[31:0]
  connect $techmap\murax.system_cpu.$procmux$1689_CMP \murax.system_cpu.writeBack_RegFilePlugin_regFileWrite_valid
  connect $techmap\murax.system_cpu.$1\_zz_38[0:0] $techmap\murax.system_cpu.$procmux$1688_Y
  connect $techmap\murax.system_cpu.$0\_zz_38[0:0] $techmap\murax.system_cpu.$1\_zz_38[0:0]
  connect $techmap\murax.system_cpu.$procmux$1692_CMP $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1711$243_Y
  connect $techmap\murax.system_cpu.$1\decode_REGFILE_WRITE_VALID[0:0] $techmap\murax.system_cpu.$procmux$1691_Y
  connect $techmap\murax.system_cpu.$0\decode_REGFILE_WRITE_VALID[0:0] $techmap\murax.system_cpu.$1\decode_REGFILE_WRITE_VALID[0:0]
  connect $techmap\murax.system_cpu.$procmux$1697_CMP $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1731$253_Y
  connect $techmap\murax.system_cpu.$procmux$1699_CMP \murax.system_cpu._zz_142
  connect $techmap\murax.system_cpu.$procmux$1701_CMP \murax.system_cpu._zz_141
  connect $techmap\murax.system_cpu.$5\execute_arbitration_haltItself[0:0] $techmap\murax.system_cpu.$procmux$1700_Y
  connect $techmap\murax.system_cpu.$procmux$1705_CMP \murax.system_cpu._zz_142
  connect $techmap\murax.system_cpu.$procmux$1707_CMP \murax.system_cpu._zz_141
  connect $techmap\murax.system_cpu.$4\execute_arbitration_haltItself[0:0] $techmap\murax.system_cpu.$procmux$1706_Y
  connect $techmap\murax.system_cpu.$procmux$1710_CMP \murax.system_cpu._zz_141
  connect $techmap\murax.system_cpu.$3\execute_arbitration_haltItself[0:0] $techmap\murax.system_cpu.$procmux$1709_Y
  connect $techmap\murax.system_cpu.$procmux$1713_CMP \murax.system_cpu._zz_141
  connect $techmap\murax.system_cpu.$2\_zz_58[31:0] $techmap\murax.system_cpu.$procmux$1712_Y
  connect $techmap\murax.system_cpu.$procmux$1716_CMP $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1725$252_Y
  connect $techmap\murax.system_cpu.$1\_zz_58[31:0] $techmap\murax.system_cpu.$procmux$1715_Y
  connect $techmap\murax.system_cpu.$procmux$1719_CMP $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1722$251_Y
  connect $techmap\murax.system_cpu.$2\execute_arbitration_haltItself[0:0] $techmap\murax.system_cpu.$procmux$1718_Y
  connect $techmap\murax.system_cpu.$procmux$1722_CMP $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1719$249_Y
  connect $techmap\murax.system_cpu.$1\execute_arbitration_haltItself[0:0] $techmap\murax.system_cpu.$procmux$1721_Y
  connect $techmap\murax.system_cpu.$0\execute_arbitration_haltItself[0:0] $techmap\murax.system_cpu.$3\execute_arbitration_haltItself[0:0]
  connect $techmap\murax.system_cpu.$0\_zz_58[31:0] $techmap\murax.system_cpu.$2\_zz_58[31:0]
  connect $techmap\murax.system_cpu.$procmux$1725_CMP $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1748$255_Y
  connect $techmap\murax.system_cpu.$1\_zz_62[31:0] $techmap\murax.system_cpu.$procmux$1724_Y
  connect $techmap\murax.system_cpu.$0\_zz_62[31:0] $techmap\murax.system_cpu.$1\_zz_62[31:0]
  connect $techmap\murax.system_cpu.$procmux$1728_CMP \murax.system_cpu._zz_74
  connect $techmap\murax.system_cpu.$1\_zz_70[31:0] $techmap\murax.system_cpu.$procmux$1727_Y
  connect $techmap\murax.system_cpu.$0\_zz_70[31:0] $techmap\murax.system_cpu.$1\_zz_70[31:0]
  connect $techmap\murax.system_cpu.$procmux$1731_CMP $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1779$261_Y
  connect $techmap\murax.system_cpu.$1\prefetch_arbitration_haltItself[0:0] $techmap\murax.system_cpu.$procmux$1730_Y
  connect $techmap\murax.system_cpu.$0\prefetch_arbitration_haltItself[0:0] $techmap\murax.system_cpu.$1\prefetch_arbitration_haltItself[0:0]
  connect $techmap\murax.system_cpu.$procmux$1734_CMP \murax.system_cpu.DebugPlugin_haltIt
  connect $techmap\murax.system_cpu.$3\prefetch_arbitration_haltByOther[0:0] $techmap\murax.system_cpu.$procmux$1733_Y
  connect $techmap\murax.system_cpu.$procmux$1737_CMP \murax.system_cpu._zz_140
  connect $techmap\murax.system_cpu.$1\decode_arbitration_flushAll[0:0] $techmap\murax.system_cpu.$procmux$1736_Y
  connect $techmap\murax.system_cpu.$procmux$1740_CMP \murax.system_cpu._zz_140
  connect $techmap\murax.system_cpu.$2\prefetch_arbitration_haltByOther[0:0] $techmap\murax.system_cpu.$procmux$1739_Y
  connect $techmap\murax.system_cpu.$procmux$1743_CMP \murax.system_cpu.CsrPlugin_pipelineLiberator_enable
  connect $techmap\murax.system_cpu.$1\prefetch_arbitration_haltByOther[0:0] $techmap\murax.system_cpu.$procmux$1742_Y
  connect $techmap\murax.system_cpu.$0\prefetch_arbitration_haltByOther[0:0] $techmap\murax.system_cpu.$3\prefetch_arbitration_haltByOther[0:0]
  connect $techmap\murax.system_cpu.$0\decode_arbitration_flushAll[0:0] $techmap\murax.system_cpu.$1\decode_arbitration_flushAll[0:0]
  connect $techmap\murax.system_cpu.$procmux$1746_CMP \murax.system_cpu.prefetch_arbitration_isFlushed
  connect $techmap\murax.system_cpu.$1\prefetch_arbitration_removeIt[0:0] $techmap\murax.system_cpu.$procmux$1745_Y
  connect $techmap\murax.system_cpu.$0\prefetch_arbitration_removeIt[0:0] $techmap\murax.system_cpu.$1\prefetch_arbitration_removeIt[0:0]
  connect $techmap\murax.system_cpu.$procmux$1749_CMP $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1810$268_Y
  connect $techmap\murax.system_cpu.$1\fetch_arbitration_haltItself[0:0] $techmap\murax.system_cpu.$procmux$1748_Y
  connect $techmap\murax.system_cpu.$0\fetch_arbitration_haltItself[0:0] $techmap\murax.system_cpu.$1\fetch_arbitration_haltItself[0:0]
  connect $techmap\murax.system_cpu.$procmux$1752_CMP \murax.system_cpu.fetch_arbitration_isFlushed
  connect $techmap\murax.system_cpu.$1\fetch_arbitration_removeIt[0:0] $techmap\murax.system_cpu.$procmux$1751_Y
  connect $techmap\murax.system_cpu.$0\fetch_arbitration_removeIt[0:0] $techmap\murax.system_cpu.$1\fetch_arbitration_removeIt[0:0]
  connect $techmap\murax.system_cpu.$procmux$1757_CMP \murax.system_cpu.DebugPlugin_secondCycle
  connect $techmap\murax.system_cpu.$procmux$1759_CMP \murax.system_cpu.debug_bus_cmd_payload_wr
  connect $techmap\murax.system_cpu.$procmux$1764_CMP \murax.system_cpu.debug_bus_cmd_valid
  connect $techmap\murax.system_cpu.$6\decode_arbitration_haltItself[0:0] $techmap\murax.system_cpu.$procmux$1763_Y
  connect $techmap\murax.system_cpu.$procmux$1768_CMP \murax.system_cpu.debug_bus_cmd_payload_wr
  connect $techmap\murax.system_cpu.$procmux$1773_CMP \murax.system_cpu.debug_bus_cmd_valid
  connect $techmap\murax.system_cpu.$3\_zz_138[0:0] $techmap\murax.system_cpu.$procmux$1772_Y
  connect $techmap\murax.system_cpu.$procmux$1777_CMP \murax.system_cpu.debug_bus_cmd_payload_wr
  connect $techmap\murax.system_cpu.$procmux$1782_CMP \murax.system_cpu.debug_bus_cmd_valid
  connect $techmap\murax.system_cpu.$5\decode_arbitration_haltItself[0:0] $techmap\murax.system_cpu.$procmux$1781_Y
  connect $techmap\murax.system_cpu.$procmux$1786_CMP \murax.system_cpu.debug_bus_cmd_payload_wr
  connect $techmap\murax.system_cpu.$procmux$1791_CMP \murax.system_cpu.debug_bus_cmd_valid
  connect $techmap\murax.system_cpu.$3\DebugPlugin_insertDecodeInstruction[0:0] $techmap\murax.system_cpu.$procmux$1790_Y
  connect $techmap\murax.system_cpu.$procmux$1797_CMP \murax.system_cpu.debug_bus_cmd_valid
  connect $techmap\murax.system_cpu.$2\DebugPlugin_insertDecodeInstruction[0:0] $techmap\murax.system_cpu.$procmux$1796_Y
  connect $techmap\murax.system_cpu.$procmux$1803_CMP \murax.system_cpu.debug_bus_cmd_valid
  connect $techmap\murax.system_cpu.$4\decode_arbitration_haltItself[0:0] $techmap\murax.system_cpu.$procmux$1802_Y
  connect $techmap\murax.system_cpu.$procmux$1809_CMP \murax.system_cpu.debug_bus_cmd_valid
  connect $techmap\murax.system_cpu.$2\_zz_138[0:0] $techmap\murax.system_cpu.$procmux$1808_Y
  connect $techmap\murax.system_cpu.$procmux$1812_CMP \murax.system_cpu.debug_bus_cmd_valid
  connect $techmap\murax.system_cpu.$1\DebugPlugin_insertDecodeInstruction[0:0] $techmap\murax.system_cpu.$procmux$1811_Y
  connect $techmap\murax.system_cpu.$procmux$1815_CMP \murax.system_cpu.debug_bus_cmd_valid
  connect $techmap\murax.system_cpu.$3\decode_arbitration_haltItself[0:0] $techmap\murax.system_cpu.$procmux$1814_Y
  connect $techmap\murax.system_cpu.$procmux$1818_CMP \murax.system_cpu.debug_bus_cmd_valid
  connect $techmap\murax.system_cpu.$1\_zz_138[0:0] $techmap\murax.system_cpu.$procmux$1817_Y
  connect $techmap\murax.system_cpu.$procmux$1821_CMP $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1830$275_Y
  connect $techmap\murax.system_cpu.$2\decode_arbitration_haltItself[0:0] $techmap\murax.system_cpu.$procmux$1820_Y
  connect $techmap\murax.system_cpu.$procmux$1824_CMP $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1827$273_Y
  connect $techmap\murax.system_cpu.$1\decode_arbitration_haltItself[0:0] $techmap\murax.system_cpu.$procmux$1823_Y
  connect $techmap\murax.system_cpu.$0\DebugPlugin_insertDecodeInstruction[0:0] $techmap\murax.system_cpu.$1\DebugPlugin_insertDecodeInstruction[0:0]
  connect $techmap\murax.system_cpu.$0\decode_arbitration_haltItself[0:0] $techmap\murax.system_cpu.$3\decode_arbitration_haltItself[0:0]
  connect $techmap\murax.system_cpu.$0\_zz_138[0:0] $techmap\murax.system_cpu.$1\_zz_138[0:0]
  connect $techmap\murax.system_cpu.$procmux$1827_CMP \murax.system_cpu.decode_arbitration_isFlushed
  connect $techmap\murax.system_cpu.$1\decode_arbitration_removeIt[0:0] $techmap\murax.system_cpu.$procmux$1826_Y
  connect $techmap\murax.system_cpu.$0\decode_arbitration_removeIt[0:0] $techmap\murax.system_cpu.$1\decode_arbitration_removeIt[0:0]
  connect $techmap\murax.system_cpu.$procmux$1830_CMP \murax.system_cpu.execute_arbitration_isFlushed
  connect $techmap\murax.system_cpu.$1\execute_arbitration_removeIt[0:0] $techmap\murax.system_cpu.$procmux$1829_Y
  connect $techmap\murax.system_cpu.$0\execute_arbitration_removeIt[0:0] $techmap\murax.system_cpu.$1\execute_arbitration_removeIt[0:0]
  connect $techmap\murax.system_cpu.$procmux$1833_CMP \murax.system_cpu._zz_78
  connect $techmap\murax.system_cpu.$3\execute_arbitration_flushAll[0:0] $techmap\murax.system_cpu.$procmux$1832_Y
  connect $techmap\murax.system_cpu.$procmux$1837_CMP \murax.system_cpu.memory_arbitration_isFiring
  connect $techmap\murax.system_cpu.$procmux$1839_CMP \murax.system_cpu._zz_144
  connect $techmap\murax.system_cpu.$2\execute_arbitration_flushAll[0:0] $techmap\murax.system_cpu.$procmux$1838_Y
  connect $techmap\murax.system_cpu.$procmux$1842_CMP \murax.system_cpu._zz_144
  connect $techmap\murax.system_cpu.$1\execute_arbitration_flushAll[0:0] $techmap\murax.system_cpu.$procmux$1841_Y
  connect $techmap\murax.system_cpu.$0\execute_arbitration_flushAll[0:0] $techmap\murax.system_cpu.$3\execute_arbitration_flushAll[0:0]
  connect $techmap\murax.system_cpu.$procmux$1846_CMP \murax.system_cpu.memory_arbitration_isFiring
  connect $techmap\murax.system_cpu.$procmux$1848_CMP \murax.system_cpu._zz_144
  connect $techmap\murax.system_cpu.$3\_zz_75[31:0] $techmap\murax.system_cpu.$procmux$1847_Y
  connect $techmap\murax.system_cpu.$procmux$1852_CMP \murax.system_cpu.memory_arbitration_isFiring
  connect $techmap\murax.system_cpu.$procmux$1854_CMP \murax.system_cpu._zz_144
  connect $techmap\murax.system_cpu.$3\_zz_74[0:0] $techmap\murax.system_cpu.$procmux$1853_Y
  connect $techmap\murax.system_cpu.$procmux$1857_CMP \murax.system_cpu._zz_144
  connect $techmap\murax.system_cpu.$2\_zz_75[31:0] $techmap\murax.system_cpu.$procmux$1856_Y
  connect $techmap\murax.system_cpu.$procmux$1860_CMP \murax.system_cpu._zz_144
  connect $techmap\murax.system_cpu.$2\_zz_74[0:0] $techmap\murax.system_cpu.$procmux$1859_Y
  connect $techmap\murax.system_cpu.$procmux$1863_CMP \murax.system_cpu._zz_144
  connect $techmap\murax.system_cpu.$2\memory_arbitration_haltItself[0:0] $techmap\murax.system_cpu.$procmux$1862_Y
  connect $techmap\murax.system_cpu.$procmux$1866_CMP \murax.system_cpu._zz_145
  connect $techmap\murax.system_cpu.$1\_zz_75[31:0] $techmap\murax.system_cpu.$procmux$1865_Y
  connect $techmap\murax.system_cpu.$procmux$1869_CMP \murax.system_cpu._zz_145
  connect $techmap\murax.system_cpu.$1\_zz_74[0:0] $techmap\murax.system_cpu.$procmux$1868_Y
  connect $techmap\murax.system_cpu.$procmux$1872_CMP $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1886$287_Y
  connect $techmap\murax.system_cpu.$1\memory_arbitration_haltItself[0:0] $techmap\murax.system_cpu.$procmux$1871_Y
  connect $techmap\murax.system_cpu.$0\_zz_75[31:0] $techmap\murax.system_cpu.$2\_zz_75[31:0]
  connect $techmap\murax.system_cpu.$0\_zz_74[0:0] $techmap\murax.system_cpu.$2\_zz_74[0:0]
  connect $techmap\murax.system_cpu.$0\memory_arbitration_haltItself[0:0] $techmap\murax.system_cpu.$2\memory_arbitration_haltItself[0:0]
  connect $techmap\murax.system_cpu.$procmux$1875_CMP \murax.system_cpu.memory_arbitration_isFlushed
  connect $techmap\murax.system_cpu.$1\memory_arbitration_removeIt[0:0] $techmap\murax.system_cpu.$procmux$1874_Y
  connect $techmap\murax.system_cpu.$0\memory_arbitration_removeIt[0:0] $techmap\murax.system_cpu.$1\memory_arbitration_removeIt[0:0]
  connect $techmap\murax.system_cpu.$procmux$1878_CMP \murax.system_cpu.writeBack_arbitration_isFlushed
  connect $techmap\murax.system_cpu.$1\writeBack_arbitration_removeIt[0:0] $techmap\murax.system_cpu.$procmux$1877_Y
  connect $techmap\murax.system_cpu.$0\writeBack_arbitration_removeIt[0:0] $techmap\murax.system_cpu.$1\writeBack_arbitration_removeIt[0:0]
  connect $techmap\murax.system_cpu.$procmux$1881_CMP $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:1925$291_Y
  connect $techmap\murax.system_cpu.$1\_zz_77[0:0] $techmap\murax.system_cpu.$procmux$1880_Y
  connect $techmap\murax.system_cpu.$0\_zz_77[0:0] $techmap\murax.system_cpu.$1\_zz_77[0:0]
  connect $techmap\murax.system_cpu.$procmux$1884_CMP \murax.system_cpu._zz_81
  connect $techmap\murax.system_cpu.$1\_zz_68[31:0] $techmap\murax.system_cpu.$procmux$1883_Y
  connect $techmap\murax.system_cpu.$0\_zz_68[31:0] $techmap\murax.system_cpu.$1\_zz_68[31:0]
  connect $techmap\murax.system_cpu.$1\_zz_83[31:0] $techmap\murax.system_cpu.$procmux$1886_Y
  connect $techmap\murax.system_cpu.$0\_zz_83[31:0] $techmap\murax.system_cpu.$1\_zz_83[31:0]
  connect $techmap\murax.system_cpu.$1\_zz_84[3:0] $techmap\murax.system_cpu.$procmux$1890_Y
  connect $techmap\murax.system_cpu.$0\_zz_84[3:0] $techmap\murax.system_cpu.$1\_zz_84[3:0]
  connect $techmap\murax.system_cpu.$1\writeBack_DBusSimplePlugin_rspShifted[15:0] [15:8] $techmap\murax.system_cpu.$procmux$1895_Y
  connect $techmap\murax.system_cpu.$1\writeBack_DBusSimplePlugin_rspShifted[15:0] [7:0] $techmap\murax.system_cpu.$procmux$1898_Y
  connect $techmap\murax.system_cpu.$0\writeBack_DBusSimplePlugin_rspShifted[31:0] [15:0] $techmap\murax.system_cpu.$1\writeBack_DBusSimplePlugin_rspShifted[15:0]
  connect $techmap\murax.system_cpu.$0\writeBack_DBusSimplePlugin_rspShifted[31:0] [31:16] \murax.system_cpu.writeBack_MEMORY_READ_DATA [31:16]
  connect $techmap\murax.system_cpu.$0\_zz_86[31:0] [7:0] \murax.system_cpu.writeBack_DBusSimplePlugin_rspShifted [7:0]
  connect $techmap\murax.system_cpu.$0\_zz_86[31:0] [8] \murax.system_cpu._zz_85
  connect $techmap\murax.system_cpu.$0\_zz_86[31:0] [9] \murax.system_cpu._zz_85
  connect $techmap\murax.system_cpu.$0\_zz_86[31:0] [10] \murax.system_cpu._zz_85
  connect $techmap\murax.system_cpu.$0\_zz_86[31:0] [11] \murax.system_cpu._zz_85
  connect $techmap\murax.system_cpu.$0\_zz_86[31:0] [12] \murax.system_cpu._zz_85
  connect $techmap\murax.system_cpu.$0\_zz_86[31:0] [13] \murax.system_cpu._zz_85
  connect $techmap\murax.system_cpu.$0\_zz_86[31:0] [14] \murax.system_cpu._zz_85
  connect $techmap\murax.system_cpu.$0\_zz_86[31:0] [15] \murax.system_cpu._zz_85
  connect $techmap\murax.system_cpu.$0\_zz_86[31:0] [16] \murax.system_cpu._zz_85
  connect $techmap\murax.system_cpu.$0\_zz_86[31:0] [17] \murax.system_cpu._zz_85
  connect $techmap\murax.system_cpu.$0\_zz_86[31:0] [18] \murax.system_cpu._zz_85
  connect $techmap\murax.system_cpu.$0\_zz_86[31:0] [19] \murax.system_cpu._zz_85
  connect $techmap\murax.system_cpu.$0\_zz_86[31:0] [20] \murax.system_cpu._zz_85
  connect $techmap\murax.system_cpu.$0\_zz_86[31:0] [21] \murax.system_cpu._zz_85
  connect $techmap\murax.system_cpu.$0\_zz_86[31:0] [22] \murax.system_cpu._zz_85
  connect $techmap\murax.system_cpu.$0\_zz_86[31:0] [23] \murax.system_cpu._zz_85
  connect $techmap\murax.system_cpu.$0\_zz_86[31:0] [24] \murax.system_cpu._zz_85
  connect $techmap\murax.system_cpu.$0\_zz_86[31:0] [25] \murax.system_cpu._zz_85
  connect $techmap\murax.system_cpu.$0\_zz_86[31:0] [26] \murax.system_cpu._zz_85
  connect $techmap\murax.system_cpu.$0\_zz_86[31:0] [27] \murax.system_cpu._zz_85
  connect $techmap\murax.system_cpu.$0\_zz_86[31:0] [28] \murax.system_cpu._zz_85
  connect $techmap\murax.system_cpu.$0\_zz_86[31:0] [29] \murax.system_cpu._zz_85
  connect $techmap\murax.system_cpu.$0\_zz_86[31:0] [30] \murax.system_cpu._zz_85
  connect $techmap\murax.system_cpu.$0\_zz_86[31:0] [31] \murax.system_cpu._zz_85
  connect $techmap\murax.system_cpu.$0\_zz_88[31:0] [15:0] \murax.system_cpu.writeBack_DBusSimplePlugin_rspShifted [15:0]
  connect $techmap\murax.system_cpu.$0\_zz_88[31:0] [16] \murax.system_cpu._zz_87
  connect $techmap\murax.system_cpu.$0\_zz_88[31:0] [17] \murax.system_cpu._zz_87
  connect $techmap\murax.system_cpu.$0\_zz_88[31:0] [18] \murax.system_cpu._zz_87
  connect $techmap\murax.system_cpu.$0\_zz_88[31:0] [19] \murax.system_cpu._zz_87
  connect $techmap\murax.system_cpu.$0\_zz_88[31:0] [20] \murax.system_cpu._zz_87
  connect $techmap\murax.system_cpu.$0\_zz_88[31:0] [21] \murax.system_cpu._zz_87
  connect $techmap\murax.system_cpu.$0\_zz_88[31:0] [22] \murax.system_cpu._zz_87
  connect $techmap\murax.system_cpu.$0\_zz_88[31:0] [23] \murax.system_cpu._zz_87
  connect $techmap\murax.system_cpu.$0\_zz_88[31:0] [24] \murax.system_cpu._zz_87
  connect $techmap\murax.system_cpu.$0\_zz_88[31:0] [25] \murax.system_cpu._zz_87
  connect $techmap\murax.system_cpu.$0\_zz_88[31:0] [26] \murax.system_cpu._zz_87
  connect $techmap\murax.system_cpu.$0\_zz_88[31:0] [27] \murax.system_cpu._zz_87
  connect $techmap\murax.system_cpu.$0\_zz_88[31:0] [28] \murax.system_cpu._zz_87
  connect $techmap\murax.system_cpu.$0\_zz_88[31:0] [29] \murax.system_cpu._zz_87
  connect $techmap\murax.system_cpu.$0\_zz_88[31:0] [30] \murax.system_cpu._zz_87
  connect $techmap\murax.system_cpu.$0\_zz_88[31:0] [31] \murax.system_cpu._zz_87
  connect $techmap\murax.system_cpu.$1\writeBack_DBusSimplePlugin_rspFormated[31:0] $techmap\murax.system_cpu.$procmux$1903_Y
  connect $techmap\murax.system_cpu.$0\writeBack_DBusSimplePlugin_rspFormated[31:0] $techmap\murax.system_cpu.$1\writeBack_DBusSimplePlugin_rspFormated[31:0]
  connect $techmap\murax.system_cpu.$procmux$1908_CMP \murax.system_cpu.CsrPlugin_interrupt
  connect $techmap\murax.system_cpu.$1\CsrPlugin_pipelineLiberator_enable[0:0] $techmap\murax.system_cpu.$procmux$1907_Y
  connect $techmap\murax.system_cpu.$0\CsrPlugin_pipelineLiberator_enable[0:0] $techmap\murax.system_cpu.$1\CsrPlugin_pipelineLiberator_enable[0:0]
  connect $techmap\murax.system_cpu.$procmux$1911_CMP \murax.system_cpu.CsrPlugin_exception
  connect $techmap\murax.system_cpu.$1\_zz_89[31:0] $techmap\murax.system_cpu.$procmux$1910_Y
  connect $techmap\murax.system_cpu.$0\_zz_89[31:0] $techmap\murax.system_cpu.$1\_zz_89[31:0]
  connect $techmap\murax.system_cpu.$procmux$1914_CMP $techmap\murax.system_cpu.$lt$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2127$349_Y
  connect $techmap\murax.system_cpu.$3\execute_CsrPlugin_illegalAccess[0:0] $techmap\murax.system_cpu.$procmux$1913_Y
  connect $techmap\murax.system_cpu.$3\execute_CsrPlugin_readData[12:11] [1] $techmap\murax.system_cpu.$procmux$1919_Y
  connect $techmap\murax.system_cpu.$1\execute_CsrPlugin_readData[3:0] [2:0] $techmap\murax.system_cpu.$procmux$1922_Y
  connect $techmap\murax.system_cpu.$1\execute_CsrPlugin_readData[3:0] [3] $techmap\murax.system_cpu.$procmux$1925_Y
  connect $techmap\murax.system_cpu.$2\execute_CsrPlugin_readData[7:7] $techmap\murax.system_cpu.$procmux$1932_Y
  connect $techmap\murax.system_cpu.$procmux$1939_CMP \murax.system_cpu.execute_CSR_READ_OPCODE
  connect $techmap\murax.system_cpu.$2\execute_CsrPlugin_illegalAccess[0:0] $techmap\murax.system_cpu.$procmux$1940_Y
  connect $techmap\murax.system_cpu.$1\execute_CsrPlugin_illegalAccess[0:0] $techmap\murax.system_cpu.$procmux$1943_Y
  connect $techmap\murax.system_cpu.$4\execute_CsrPlugin_readData[31:31] $techmap\murax.system_cpu.$procmux$1949_Y
  connect $techmap\murax.system_cpu.$3\execute_CsrPlugin_readData[12:11] [0] $techmap\murax.system_cpu.$procmux$1953_Y
  connect $techmap\murax.system_cpu.$0\execute_CsrPlugin_illegalAccess[0:0] $techmap\murax.system_cpu.$3\execute_CsrPlugin_illegalAccess[0:0]
  connect $techmap\murax.system_cpu.$0\execute_CsrPlugin_readData[31:0] [31] $techmap\murax.system_cpu.$4\execute_CsrPlugin_readData[31:31]
  connect $techmap\murax.system_cpu.$0\execute_CsrPlugin_readData[31:0] [12:11] $techmap\murax.system_cpu.$3\execute_CsrPlugin_readData[12:11]
  connect $techmap\murax.system_cpu.$0\execute_CsrPlugin_readData[31:0] [7] $techmap\murax.system_cpu.$2\execute_CsrPlugin_readData[7:7]
  connect $techmap\murax.system_cpu.$0\execute_CsrPlugin_readData[31:0] [3:0] $techmap\murax.system_cpu.$1\execute_CsrPlugin_readData[3:0]
  connect { $techmap\murax.system_cpu.$0\execute_CsrPlugin_readData[31:0] [30:13] $techmap\murax.system_cpu.$0\execute_CsrPlugin_readData[31:0] [10:8] $techmap\murax.system_cpu.$0\execute_CsrPlugin_readData[31:0] [6:4] } 24'000000000000000000000000
  connect $techmap\murax.system_cpu.$1\execute_CsrPlugin_writeData[31:0] $techmap\murax.system_cpu.$procmux$1958_Y
  connect $techmap\murax.system_cpu.$0\execute_CsrPlugin_writeData[31:0] $techmap\murax.system_cpu.$1\execute_CsrPlugin_writeData[31:0]
  connect $techmap\murax.system_cpu.$procmux$1962_CMP \murax.system_cpu._zz_107
  connect $techmap\murax.system_cpu.$1\writeBack_RegFilePlugin_regFileWrite_valid[0:0] $techmap\murax.system_cpu.$procmux$1961_Y
  connect $techmap\murax.system_cpu.$0\writeBack_RegFilePlugin_regFileWrite_valid[0:0] $techmap\murax.system_cpu.$1\writeBack_RegFilePlugin_regFileWrite_valid[0:0]
  connect $techmap\murax.system_cpu.$1\execute_IntAluPlugin_bitwise[31:0] $techmap\murax.system_cpu.$procmux$1964_Y
  connect $techmap\murax.system_cpu.$0\execute_IntAluPlugin_bitwise[31:0] $techmap\murax.system_cpu.$1\execute_IntAluPlugin_bitwise[31:0]
  connect $techmap\murax.system_cpu.$1\_zz_108[31:0] $techmap\murax.system_cpu.$procmux$1969_Y
  connect $techmap\murax.system_cpu.$0\_zz_108[31:0] $techmap\murax.system_cpu.$1\_zz_108[31:0]
  connect $techmap\murax.system_cpu.$1\_zz_109[31:0] $techmap\murax.system_cpu.$procmux$1973_Y
  connect $techmap\murax.system_cpu.$0\_zz_109[31:0] $techmap\murax.system_cpu.$1\_zz_109[31:0]
  connect $techmap\murax.system_cpu.$0\_zz_111[19:0] [0] \murax.system_cpu._zz_110
  connect $techmap\murax.system_cpu.$0\_zz_111[19:0] [1] \murax.system_cpu._zz_110
  connect $techmap\murax.system_cpu.$0\_zz_111[19:0] [2] \murax.system_cpu._zz_110
  connect $techmap\murax.system_cpu.$0\_zz_111[19:0] [3] \murax.system_cpu._zz_110
  connect $techmap\murax.system_cpu.$0\_zz_111[19:0] [4] \murax.system_cpu._zz_110
  connect $techmap\murax.system_cpu.$0\_zz_111[19:0] [5] \murax.system_cpu._zz_110
  connect $techmap\murax.system_cpu.$0\_zz_111[19:0] [6] \murax.system_cpu._zz_110
  connect $techmap\murax.system_cpu.$0\_zz_111[19:0] [7] \murax.system_cpu._zz_110
  connect $techmap\murax.system_cpu.$0\_zz_111[19:0] [8] \murax.system_cpu._zz_110
  connect $techmap\murax.system_cpu.$0\_zz_111[19:0] [9] \murax.system_cpu._zz_110
  connect $techmap\murax.system_cpu.$0\_zz_111[19:0] [10] \murax.system_cpu._zz_110
  connect $techmap\murax.system_cpu.$0\_zz_111[19:0] [11] \murax.system_cpu._zz_110
  connect $techmap\murax.system_cpu.$0\_zz_111[19:0] [12] \murax.system_cpu._zz_110
  connect $techmap\murax.system_cpu.$0\_zz_111[19:0] [13] \murax.system_cpu._zz_110
  connect $techmap\murax.system_cpu.$0\_zz_111[19:0] [14] \murax.system_cpu._zz_110
  connect $techmap\murax.system_cpu.$0\_zz_111[19:0] [15] \murax.system_cpu._zz_110
  connect $techmap\murax.system_cpu.$0\_zz_111[19:0] [16] \murax.system_cpu._zz_110
  connect $techmap\murax.system_cpu.$0\_zz_111[19:0] [17] \murax.system_cpu._zz_110
  connect $techmap\murax.system_cpu.$0\_zz_111[19:0] [18] \murax.system_cpu._zz_110
  connect $techmap\murax.system_cpu.$0\_zz_111[19:0] [19] \murax.system_cpu._zz_110
  connect $techmap\murax.system_cpu.$0\_zz_113[19:0] [0] \murax.system_cpu._zz_112
  connect $techmap\murax.system_cpu.$0\_zz_113[19:0] [1] \murax.system_cpu._zz_112
  connect $techmap\murax.system_cpu.$0\_zz_113[19:0] [2] \murax.system_cpu._zz_112
  connect $techmap\murax.system_cpu.$0\_zz_113[19:0] [3] \murax.system_cpu._zz_112
  connect $techmap\murax.system_cpu.$0\_zz_113[19:0] [4] \murax.system_cpu._zz_112
  connect $techmap\murax.system_cpu.$0\_zz_113[19:0] [5] \murax.system_cpu._zz_112
  connect $techmap\murax.system_cpu.$0\_zz_113[19:0] [6] \murax.system_cpu._zz_112
  connect $techmap\murax.system_cpu.$0\_zz_113[19:0] [7] \murax.system_cpu._zz_112
  connect $techmap\murax.system_cpu.$0\_zz_113[19:0] [8] \murax.system_cpu._zz_112
  connect $techmap\murax.system_cpu.$0\_zz_113[19:0] [9] \murax.system_cpu._zz_112
  connect $techmap\murax.system_cpu.$0\_zz_113[19:0] [10] \murax.system_cpu._zz_112
  connect $techmap\murax.system_cpu.$0\_zz_113[19:0] [11] \murax.system_cpu._zz_112
  connect $techmap\murax.system_cpu.$0\_zz_113[19:0] [12] \murax.system_cpu._zz_112
  connect $techmap\murax.system_cpu.$0\_zz_113[19:0] [13] \murax.system_cpu._zz_112
  connect $techmap\murax.system_cpu.$0\_zz_113[19:0] [14] \murax.system_cpu._zz_112
  connect $techmap\murax.system_cpu.$0\_zz_113[19:0] [15] \murax.system_cpu._zz_112
  connect $techmap\murax.system_cpu.$0\_zz_113[19:0] [16] \murax.system_cpu._zz_112
  connect $techmap\murax.system_cpu.$0\_zz_113[19:0] [17] \murax.system_cpu._zz_112
  connect $techmap\murax.system_cpu.$0\_zz_113[19:0] [18] \murax.system_cpu._zz_112
  connect $techmap\murax.system_cpu.$0\_zz_113[19:0] [19] \murax.system_cpu._zz_112
  connect $techmap\murax.system_cpu.$1\_zz_114[31:0] $techmap\murax.system_cpu.$procmux$1977_Y
  connect $techmap\murax.system_cpu.$0\_zz_114[31:0] $techmap\murax.system_cpu.$1\_zz_114[31:0]
  connect $techmap\murax.system_cpu.$1\_zz_115[31:0] $techmap\murax.system_cpu.$procmux$1982_Y
  connect $techmap\murax.system_cpu.$0\_zz_115[31:0] $techmap\murax.system_cpu.$1\_zz_115[31:0]
  connect $techmap\murax.system_cpu.$procmux$1986_CMP $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2374$417_Y
  connect $techmap\murax.system_cpu.$12\_zz_117[0:0] $techmap\murax.system_cpu.$procmux$1985_Y
  connect $techmap\murax.system_cpu.$procmux$1989_CMP $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2371$416_Y
  connect $techmap\murax.system_cpu.$12\_zz_116[0:0] $techmap\murax.system_cpu.$procmux$1988_Y
  connect $techmap\murax.system_cpu.$procmux$1994_CMP $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2366$415_Y
  connect $techmap\murax.system_cpu.$procmux$1996_CMP $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2362$413_Y
  connect $techmap\murax.system_cpu.$procmux$1998_CMP $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2361$411_Y
  connect $techmap\murax.system_cpu.$11\_zz_117[0:0] $techmap\murax.system_cpu.$procmux$1997_Y
  connect $techmap\murax.system_cpu.$procmux$2003_CMP $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2363$414_Y
  connect $techmap\murax.system_cpu.$procmux$2005_CMP $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2362$413_Y
  connect $techmap\murax.system_cpu.$procmux$2007_CMP $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2361$411_Y
  connect $techmap\murax.system_cpu.$11\_zz_116[0:0] $techmap\murax.system_cpu.$procmux$2006_Y
  connect $techmap\murax.system_cpu.$procmux$2011_CMP $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2362$413_Y
  connect $techmap\murax.system_cpu.$procmux$2013_CMP $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2361$411_Y
  connect $techmap\murax.system_cpu.$10\_zz_117[0:0] $techmap\murax.system_cpu.$procmux$2012_Y
  connect $techmap\murax.system_cpu.$procmux$2017_CMP $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2362$413_Y
  connect $techmap\murax.system_cpu.$procmux$2019_CMP $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2361$411_Y
  connect $techmap\murax.system_cpu.$10\_zz_116[0:0] $techmap\murax.system_cpu.$procmux$2018_Y
  connect $techmap\murax.system_cpu.$procmux$2022_CMP $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2361$411_Y
  connect $techmap\murax.system_cpu.$9\_zz_117[0:0] $techmap\murax.system_cpu.$procmux$2021_Y
  connect $techmap\murax.system_cpu.$procmux$2025_CMP $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2361$411_Y
  connect $techmap\murax.system_cpu.$9\_zz_116[0:0] $techmap\murax.system_cpu.$procmux$2024_Y
  connect $techmap\murax.system_cpu.$procmux$2030_CMP $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2356$410_Y
  connect $techmap\murax.system_cpu.$procmux$2032_CMP $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2352$408_Y
  connect $techmap\murax.system_cpu.$procmux$2034_CMP $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2351$406_Y
  connect $techmap\murax.system_cpu.$8\_zz_117[0:0] $techmap\murax.system_cpu.$procmux$2033_Y
  connect $techmap\murax.system_cpu.$procmux$2039_CMP $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2353$409_Y
  connect $techmap\murax.system_cpu.$procmux$2041_CMP $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2352$408_Y
  connect $techmap\murax.system_cpu.$procmux$2043_CMP $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2351$406_Y
  connect $techmap\murax.system_cpu.$8\_zz_116[0:0] $techmap\murax.system_cpu.$procmux$2042_Y
  connect $techmap\murax.system_cpu.$procmux$2047_CMP $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2352$408_Y
  connect $techmap\murax.system_cpu.$procmux$2049_CMP $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2351$406_Y
  connect $techmap\murax.system_cpu.$7\_zz_117[0:0] $techmap\murax.system_cpu.$procmux$2048_Y
  connect $techmap\murax.system_cpu.$procmux$2053_CMP $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2352$408_Y
  connect $techmap\murax.system_cpu.$procmux$2055_CMP $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2351$406_Y
  connect $techmap\murax.system_cpu.$7\_zz_116[0:0] $techmap\murax.system_cpu.$procmux$2054_Y
  connect $techmap\murax.system_cpu.$procmux$2058_CMP $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2351$406_Y
  connect $techmap\murax.system_cpu.$6\_zz_117[0:0] $techmap\murax.system_cpu.$procmux$2057_Y
  connect $techmap\murax.system_cpu.$procmux$2061_CMP $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2351$406_Y
  connect $techmap\murax.system_cpu.$6\_zz_116[0:0] $techmap\murax.system_cpu.$procmux$2060_Y
  connect $techmap\murax.system_cpu.$procmux$2065_CMP $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2346$405_Y
  connect $techmap\murax.system_cpu.$procmux$2067_CMP $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2341$403_Y
  connect $techmap\murax.system_cpu.$5\_zz_117[0:0] $techmap\murax.system_cpu.$procmux$2066_Y
  connect $techmap\murax.system_cpu.$procmux$2071_CMP $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2343$404_Y
  connect $techmap\murax.system_cpu.$procmux$2073_CMP $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2341$403_Y
  connect $techmap\murax.system_cpu.$5\_zz_116[0:0] $techmap\murax.system_cpu.$procmux$2072_Y
  connect $techmap\murax.system_cpu.$procmux$2076_CMP $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2341$403_Y
  connect $techmap\murax.system_cpu.$4\_zz_117[0:0] $techmap\murax.system_cpu.$procmux$2075_Y
  connect $techmap\murax.system_cpu.$procmux$2079_CMP $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2341$403_Y
  connect $techmap\murax.system_cpu.$4\_zz_116[0:0] $techmap\murax.system_cpu.$procmux$2078_Y
  connect $techmap\murax.system_cpu.$procmux$2082_CMP $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2341$403_Y
  connect $techmap\murax.system_cpu.$3\_zz_117[0:0] $techmap\murax.system_cpu.$procmux$2081_Y
  connect $techmap\murax.system_cpu.$procmux$2085_CMP $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2341$403_Y
  connect $techmap\murax.system_cpu.$3\_zz_116[0:0] $techmap\murax.system_cpu.$procmux$2084_Y
  connect $techmap\murax.system_cpu.$procmux$2089_CMP $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2337$402_Y
  connect $techmap\murax.system_cpu.$procmux$2091_CMP \murax.system_cpu._zz_118
  connect $techmap\murax.system_cpu.$2\_zz_117[0:0] $techmap\murax.system_cpu.$procmux$2090_Y
  connect $techmap\murax.system_cpu.$procmux$2095_CMP $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2334$401_Y
  connect $techmap\murax.system_cpu.$procmux$2097_CMP \murax.system_cpu._zz_118
  connect $techmap\murax.system_cpu.$2\_zz_116[0:0] $techmap\murax.system_cpu.$procmux$2096_Y
  connect $techmap\murax.system_cpu.$procmux$2100_CMP \murax.system_cpu._zz_118
  connect $techmap\murax.system_cpu.$1\_zz_117[0:0] $techmap\murax.system_cpu.$procmux$2099_Y
  connect $techmap\murax.system_cpu.$procmux$2103_CMP \murax.system_cpu._zz_118
  connect $techmap\murax.system_cpu.$1\_zz_116[0:0] $techmap\murax.system_cpu.$procmux$2102_Y
  connect $techmap\murax.system_cpu.$0\_zz_117[0:0] $techmap\murax.system_cpu.$12\_zz_117[0:0]
  connect $techmap\murax.system_cpu.$0\_zz_116[0:0] $techmap\murax.system_cpu.$12\_zz_116[0:0]
  connect $techmap\murax.system_cpu.$procmux$2106_CMP $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2386$424_Y
  connect $techmap\murax.system_cpu.$procmux$2109_CMP $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2384$421_Y
  connect $techmap\murax.system_cpu.$procmux$2112_CMP $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2382$420_Y
  connect $techmap\murax.system_cpu.$3\_zz_121[0:0] $techmap\murax.system_cpu.$procmux$2111_Y
  connect $techmap\murax.system_cpu.$procmux$2115_CMP $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2384$421_Y
  connect $techmap\murax.system_cpu.$procmux$2118_CMP $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2382$420_Y
  connect $techmap\murax.system_cpu.$2\_zz_121[0:0] $techmap\murax.system_cpu.$procmux$2117_Y
  connect $techmap\murax.system_cpu.$procmux$2121_CMP $techmap\murax.system_cpu.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2382$420_Y
  connect $techmap\murax.system_cpu.$1\_zz_121[0:0] $techmap\murax.system_cpu.$procmux$2120_Y
  connect $techmap\murax.system_cpu.$0\_zz_121[0:0] $techmap\murax.system_cpu.$1\_zz_121[0:0]
  connect $techmap\murax.system_cpu.$1\_zz_122[0:0] $techmap\murax.system_cpu.$procmux$2123_Y
  connect $techmap\murax.system_cpu.$0\_zz_122[0:0] $techmap\murax.system_cpu.$1\_zz_122[0:0]
  connect $techmap\murax.system_cpu.$0\_zz_124[10:0] [0] \murax.system_cpu._zz_123
  connect $techmap\murax.system_cpu.$0\_zz_124[10:0] [1] \murax.system_cpu._zz_123
  connect $techmap\murax.system_cpu.$0\_zz_124[10:0] [2] \murax.system_cpu._zz_123
  connect $techmap\murax.system_cpu.$0\_zz_124[10:0] [3] \murax.system_cpu._zz_123
  connect $techmap\murax.system_cpu.$0\_zz_124[10:0] [4] \murax.system_cpu._zz_123
  connect $techmap\murax.system_cpu.$0\_zz_124[10:0] [5] \murax.system_cpu._zz_123
  connect $techmap\murax.system_cpu.$0\_zz_124[10:0] [6] \murax.system_cpu._zz_123
  connect $techmap\murax.system_cpu.$0\_zz_124[10:0] [7] \murax.system_cpu._zz_123
  connect $techmap\murax.system_cpu.$0\_zz_124[10:0] [8] \murax.system_cpu._zz_123
  connect $techmap\murax.system_cpu.$0\_zz_124[10:0] [9] \murax.system_cpu._zz_123
  connect $techmap\murax.system_cpu.$0\_zz_124[10:0] [10] \murax.system_cpu._zz_123
  connect $techmap\murax.system_cpu.$0\_zz_126[19:0] [0] \murax.system_cpu._zz_125
  connect $techmap\murax.system_cpu.$0\_zz_126[19:0] [1] \murax.system_cpu._zz_125
  connect $techmap\murax.system_cpu.$0\_zz_126[19:0] [2] \murax.system_cpu._zz_125
  connect $techmap\murax.system_cpu.$0\_zz_126[19:0] [3] \murax.system_cpu._zz_125
  connect $techmap\murax.system_cpu.$0\_zz_126[19:0] [4] \murax.system_cpu._zz_125
  connect $techmap\murax.system_cpu.$0\_zz_126[19:0] [5] \murax.system_cpu._zz_125
  connect $techmap\murax.system_cpu.$0\_zz_126[19:0] [6] \murax.system_cpu._zz_125
  connect $techmap\murax.system_cpu.$0\_zz_126[19:0] [7] \murax.system_cpu._zz_125
  connect $techmap\murax.system_cpu.$0\_zz_126[19:0] [8] \murax.system_cpu._zz_125
  connect $techmap\murax.system_cpu.$0\_zz_126[19:0] [9] \murax.system_cpu._zz_125
  connect $techmap\murax.system_cpu.$0\_zz_126[19:0] [10] \murax.system_cpu._zz_125
  connect $techmap\murax.system_cpu.$0\_zz_126[19:0] [11] \murax.system_cpu._zz_125
  connect $techmap\murax.system_cpu.$0\_zz_126[19:0] [12] \murax.system_cpu._zz_125
  connect $techmap\murax.system_cpu.$0\_zz_126[19:0] [13] \murax.system_cpu._zz_125
  connect $techmap\murax.system_cpu.$0\_zz_126[19:0] [14] \murax.system_cpu._zz_125
  connect $techmap\murax.system_cpu.$0\_zz_126[19:0] [15] \murax.system_cpu._zz_125
  connect $techmap\murax.system_cpu.$0\_zz_126[19:0] [16] \murax.system_cpu._zz_125
  connect $techmap\murax.system_cpu.$0\_zz_126[19:0] [17] \murax.system_cpu._zz_125
  connect $techmap\murax.system_cpu.$0\_zz_126[19:0] [18] \murax.system_cpu._zz_125
  connect $techmap\murax.system_cpu.$0\_zz_126[19:0] [19] \murax.system_cpu._zz_125
  connect $techmap\murax.system_cpu.$0\_zz_128[18:0] [0] \murax.system_cpu._zz_127
  connect $techmap\murax.system_cpu.$0\_zz_128[18:0] [1] \murax.system_cpu._zz_127
  connect $techmap\murax.system_cpu.$0\_zz_128[18:0] [2] \murax.system_cpu._zz_127
  connect $techmap\murax.system_cpu.$0\_zz_128[18:0] [3] \murax.system_cpu._zz_127
  connect $techmap\murax.system_cpu.$0\_zz_128[18:0] [4] \murax.system_cpu._zz_127
  connect $techmap\murax.system_cpu.$0\_zz_128[18:0] [5] \murax.system_cpu._zz_127
  connect $techmap\murax.system_cpu.$0\_zz_128[18:0] [6] \murax.system_cpu._zz_127
  connect $techmap\murax.system_cpu.$0\_zz_128[18:0] [7] \murax.system_cpu._zz_127
  connect $techmap\murax.system_cpu.$0\_zz_128[18:0] [8] \murax.system_cpu._zz_127
  connect $techmap\murax.system_cpu.$0\_zz_128[18:0] [9] \murax.system_cpu._zz_127
  connect $techmap\murax.system_cpu.$0\_zz_128[18:0] [10] \murax.system_cpu._zz_127
  connect $techmap\murax.system_cpu.$0\_zz_128[18:0] [11] \murax.system_cpu._zz_127
  connect $techmap\murax.system_cpu.$0\_zz_128[18:0] [12] \murax.system_cpu._zz_127
  connect $techmap\murax.system_cpu.$0\_zz_128[18:0] [13] \murax.system_cpu._zz_127
  connect $techmap\murax.system_cpu.$0\_zz_128[18:0] [14] \murax.system_cpu._zz_127
  connect $techmap\murax.system_cpu.$0\_zz_128[18:0] [15] \murax.system_cpu._zz_127
  connect $techmap\murax.system_cpu.$0\_zz_128[18:0] [16] \murax.system_cpu._zz_127
  connect $techmap\murax.system_cpu.$0\_zz_128[18:0] [17] \murax.system_cpu._zz_127
  connect $techmap\murax.system_cpu.$0\_zz_128[18:0] [18] \murax.system_cpu._zz_127
  connect $techmap\murax.system_cpu.$1\_zz_129[31:0] $techmap\murax.system_cpu.$procmux$2128_Y
  connect $techmap\murax.system_cpu.$0\_zz_129[31:0] $techmap\murax.system_cpu.$1\_zz_129[31:0]
  connect $techmap\murax.system_cpu.$procmux$2133_CMP $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2495$439_Y
  connect $techmap\murax.system_cpu.$1\debug_bus_rsp_data[4:0] [4] $techmap\murax.system_cpu.$procmux$2132_Y
  connect $techmap\murax.system_cpu.$procmux$2136_CMP $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2495$439_Y
  connect $techmap\murax.system_cpu.$1\debug_bus_rsp_data[4:0] [2] $techmap\murax.system_cpu.$procmux$2135_Y
  connect $techmap\murax.system_cpu.$procmux$2139_CMP $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2495$439_Y
  connect $techmap\murax.system_cpu.$1\debug_bus_rsp_data[4:0] [1] $techmap\murax.system_cpu.$procmux$2138_Y
  connect $techmap\murax.system_cpu.$procmux$2142_CMP $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2495$439_Y
  connect $techmap\murax.system_cpu.$1\debug_bus_rsp_data[4:0] [0] $techmap\murax.system_cpu.$procmux$2141_Y
  connect $techmap\murax.system_cpu.$procmux$2145_CMP $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2495$439_Y
  connect $techmap\murax.system_cpu.$1\debug_bus_rsp_data[4:0] [3] $techmap\murax.system_cpu.$procmux$2144_Y
  connect $techmap\murax.system_cpu.$0\debug_bus_rsp_data[31:0] [4:0] $techmap\murax.system_cpu.$1\debug_bus_rsp_data[4:0]
  connect $techmap\murax.system_cpu.$0\debug_bus_rsp_data[31:0] [31:5] \murax.system_cpu.DebugPlugin_busReadDataReg [31:5]
  connect $techmap\murax.system_cpu.$procmux$2147_CMP $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2642$529_Y
  connect $techmap\murax.system_cpu.$0\memory_to_writeBack_INSTRUCTION[31:0] $techmap\murax.system_cpu.$procmux$2146_Y
  connect $techmap\murax.system_cpu.$procmux$2149_CMP $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2639$528_Y
  connect $techmap\murax.system_cpu.$0\memory_to_writeBack_REGFILE_WRITE_DATA[31:0] $techmap\murax.system_cpu.$procmux$2148_Y
  connect $techmap\murax.system_cpu.$0\_zz_118[0:0] $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2625$527_Y
  connect $techmap\murax.system_cpu.$procmux$2151_CMP \murax.system_cpu.execute_LightShifterPlugin_done
  connect $techmap\murax.system_cpu.$procmux$2153_CMP \murax.system_cpu._zz_142
  connect $techmap\murax.system_cpu.$procmux$2155_CMP \murax.system_cpu._zz_141
  connect $techmap\murax.system_cpu.$procmux$2157_CMP \murax.system_cpu.execute_arbitration_removeIt
  connect $techmap\murax.system_cpu.$0\execute_LightShifterPlugin_isActive[0:0] $techmap\murax.system_cpu.$procmux$2156_Y
  connect $techmap\murax.system_cpu.$0\_zz_107[0:0] 1'0
  connect $techmap\murax.system_cpu.$procmux$2159_CMP \murax.system_cpu.execute_CsrPlugin_writeEnable
  connect $techmap\murax.system_cpu.$0\CsrPlugin_mie_MSIE[0:0] $techmap\murax.system_cpu.$procmux$2160_Y
  connect $techmap\murax.system_cpu.$procmux$2163_CMP \murax.system_cpu.execute_CsrPlugin_writeEnable
  connect $techmap\murax.system_cpu.$0\CsrPlugin_mie_MTIE[0:0] $techmap\murax.system_cpu.$procmux$2164_Y
  connect $techmap\murax.system_cpu.$procmux$2167_CMP \murax.system_cpu.execute_CsrPlugin_writeEnable
  connect $techmap\murax.system_cpu.$0\CsrPlugin_mie_MEIE[0:0] $techmap\murax.system_cpu.$procmux$2168_Y
  connect $techmap\murax.system_cpu.$procmux$2172_CMP \murax.system_cpu.execute_CsrPlugin_writeEnable
  connect $techmap\murax.system_cpu.$0\CsrPlugin_mip_MSIP[0:0] $techmap\murax.system_cpu.$procmux$2173_Y
  connect $techmap\murax.system_cpu.$0\CsrPlugin_mip_MTIP[0:0] \murax.system_cpu.timerInterrupt
  connect $techmap\murax.system_cpu.$0\CsrPlugin_mip_MEIP[0:0] \murax.system_cpu.externalInterrupt
  connect $techmap\murax.system_cpu.$procmux$2176_CMP \murax.system_cpu._zz_145
  connect $techmap\murax.system_cpu.$procmux$2180_CMP \murax.system_cpu.execute_CsrPlugin_writeEnable
  connect $techmap\murax.system_cpu.$0\CsrPlugin_mstatus_MPP[1:0] $techmap\murax.system_cpu.$procmux$2181_Y
  connect $techmap\murax.system_cpu.$procmux$2184_CMP \murax.system_cpu._zz_145
  connect $techmap\murax.system_cpu.$procmux$2188_CMP \murax.system_cpu.execute_CsrPlugin_writeEnable
  connect $techmap\murax.system_cpu.$0\CsrPlugin_mstatus_MPIE[0:0] $techmap\murax.system_cpu.$procmux$2189_Y
  connect $techmap\murax.system_cpu.$procmux$2192_CMP \murax.system_cpu._zz_145
  connect $techmap\murax.system_cpu.$procmux$2194_CMP \murax.system_cpu.memory_arbitration_isFiring
  connect $techmap\murax.system_cpu.$procmux$2196_CMP \murax.system_cpu._zz_144
  connect $techmap\murax.system_cpu.$procmux$2200_CMP \murax.system_cpu.execute_CsrPlugin_writeEnable
  connect $techmap\murax.system_cpu.$0\CsrPlugin_mstatus_MIE[0:0] $techmap\murax.system_cpu.$procmux$2201_Y
  connect $techmap\murax.system_cpu.$procmux$2204_CMP \murax.system_cpu.iBus_rsp_ready
  connect $techmap\murax.system_cpu.$procmux$2206_CMP $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2597$526_Y
  connect $techmap\murax.system_cpu.$0\_zz_81[0:0] $techmap\murax.system_cpu.$procmux$2205_Y
  connect $techmap\murax.system_cpu.$procmux$2208_CMP \murax.system_cpu.iBus_rsp_ready
  connect $techmap\murax.system_cpu.$procmux$2210_CMP $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2591$525_Y
  connect $techmap\murax.system_cpu.$0\prefetch_IBusSimplePlugin_pendingCmd[0:0] $techmap\murax.system_cpu.$procmux$2209_Y
  connect $techmap\murax.system_cpu.$procmux$2212_CMP \murax.system_cpu.prefetch_arbitration_isFiring
  connect $techmap\murax.system_cpu.$procmux$2214_CMP \murax.system_cpu.prefetch_PcManagerSimplePlugin_jump_pcLoad_valid
  connect $techmap\murax.system_cpu.$0\prefetch_PcManagerSimplePlugin_pcReg[31:0] $techmap\murax.system_cpu.$procmux$2213_Y
  connect $techmap\murax.system_cpu.$procmux$2216_CMP \murax.system_cpu.memory_arbitration_isFiring
  connect $techmap\murax.system_cpu.$procmux$2218_CMP \murax.system_cpu._zz_144
  connect $techmap\murax.system_cpu.$0\_zz_76[1:0] $techmap\murax.system_cpu.$procmux$2217_Y
  connect $techmap\murax.system_cpu.$procmux$2220_CMP $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2669$551_Y
  connect $techmap\murax.system_cpu.$procmux$2222_CMP $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2672$554_Y
  connect $techmap\murax.system_cpu.$0\writeBack_arbitration_isValid[0:0] $techmap\murax.system_cpu.$procmux$2221_Y
  connect $techmap\murax.system_cpu.$procmux$2224_CMP $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2663$546_Y
  connect $techmap\murax.system_cpu.$procmux$2226_CMP $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2666$549_Y
  connect $techmap\murax.system_cpu.$0\memory_arbitration_isValid[0:0] $techmap\murax.system_cpu.$procmux$2225_Y
  connect $techmap\murax.system_cpu.$procmux$2228_CMP $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2657$541_Y
  connect $techmap\murax.system_cpu.$procmux$2230_CMP $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2660$544_Y
  connect $techmap\murax.system_cpu.$0\execute_arbitration_isValid[0:0] $techmap\murax.system_cpu.$procmux$2229_Y
  connect $techmap\murax.system_cpu.$procmux$2232_CMP \murax.system_cpu.DebugPlugin_firstCycle
  connect $techmap\murax.system_cpu.$procmux$2234_CMP \murax.system_cpu.debug_bus_cmd_payload_wr
  connect $techmap\murax.system_cpu.$procmux$2239_CMP \murax.system_cpu.debug_bus_cmd_valid
  connect $techmap\murax.system_cpu.$procmux$2241_CMP $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2651$536_Y
  connect $techmap\murax.system_cpu.$procmux$2243_CMP $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2654$539_Y
  connect $techmap\murax.system_cpu.$0\decode_arbitration_isValid[0:0] $techmap\murax.system_cpu.$procmux$2242_Y
  connect $techmap\murax.system_cpu.$procmux$2245_CMP $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2645$531_Y
  connect $techmap\murax.system_cpu.$procmux$2247_CMP $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2648$534_Y
  connect $techmap\murax.system_cpu.$0\fetch_arbitration_isValid[0:0] $techmap\murax.system_cpu.$procmux$2246_Y
  connect $techmap\murax.system_cpu.$0\prefetch_arbitration_isValid[0:0] 1'1
  connect $techmap\murax.system_cpu.$0\_zz_119[4:0] \murax.system_cpu._zz_36 [11:7]
  connect $techmap\murax.system_cpu.$0\_zz_90[0:0] \murax.system_cpu.CsrPlugin_exception
  connect $techmap\murax.system_cpu.$0\CsrPlugin_mcycle[63:0] $techmap\murax.system_cpu.$add$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2713$568_Y
  connect $techmap\murax.system_cpu.$procmux$2249_CMP $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2865$616_Y
  connect $techmap\murax.system_cpu.$0\memory_to_writeBack_MEMORY_ADDRESS_LOW[1:0] $techmap\murax.system_cpu.$procmux$2248_Y
  connect $techmap\murax.system_cpu.$procmux$2251_CMP $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2862$615_Y
  connect $techmap\murax.system_cpu.$0\execute_to_memory_MEMORY_ADDRESS_LOW[1:0] $techmap\murax.system_cpu.$procmux$2250_Y
  connect $techmap\murax.system_cpu.$procmux$2253_CMP $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2859$614_Y
  connect $techmap\murax.system_cpu.$0\execute_to_memory_FORMAL_PC_NEXT[31:0] $techmap\murax.system_cpu.$procmux$2252_Y
  connect $techmap\murax.system_cpu.$procmux$2255_CMP $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2856$613_Y
  connect $techmap\murax.system_cpu.$0\decode_to_execute_FORMAL_PC_NEXT[31:0] $techmap\murax.system_cpu.$procmux$2254_Y
  connect $techmap\murax.system_cpu.$procmux$2257_CMP $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2853$612_Y
  connect $techmap\murax.system_cpu.$0\fetch_to_decode_FORMAL_PC_NEXT[31:0] $techmap\murax.system_cpu.$procmux$2256_Y
  connect $techmap\murax.system_cpu.$procmux$2259_CMP $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2850$611_Y
  connect $techmap\murax.system_cpu.$0\prefetch_to_fetch_FORMAL_PC_NEXT[31:0] $techmap\murax.system_cpu.$procmux$2258_Y
  connect $techmap\murax.system_cpu.$procmux$2261_CMP $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2847$610_Y
  connect $techmap\murax.system_cpu.$0\execute_to_memory_INSTRUCTION[31:0] $techmap\murax.system_cpu.$procmux$2260_Y
  connect $techmap\murax.system_cpu.$procmux$2263_CMP $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2844$609_Y
  connect $techmap\murax.system_cpu.$0\decode_to_execute_INSTRUCTION[31:0] $techmap\murax.system_cpu.$procmux$2262_Y
  connect $techmap\murax.system_cpu.$procmux$2265_CMP $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2841$608_Y
  connect $techmap\murax.system_cpu.$procmux$2267_CMP \murax.system_cpu.DebugPlugin_insertDecodeInstruction
  connect $techmap\murax.system_cpu.$0\fetch_to_decode_INSTRUCTION[31:0] $techmap\murax.system_cpu.$procmux$2266_Y
  connect $techmap\murax.system_cpu.$procmux$2269_CMP $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2838$607_Y
  connect $techmap\murax.system_cpu.$0\decode_to_execute_SHIFT_CTRL[1:0] $techmap\murax.system_cpu.$procmux$2268_Y
  connect $techmap\murax.system_cpu.$procmux$2271_CMP $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2835$606_Y
  connect $techmap\murax.system_cpu.$0\memory_to_writeBack_REGFILE_WRITE_VALID[0:0] $techmap\murax.system_cpu.$procmux$2270_Y
  connect $techmap\murax.system_cpu.$procmux$2273_CMP $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2832$605_Y
  connect $techmap\murax.system_cpu.$0\execute_to_memory_REGFILE_WRITE_VALID[0:0] $techmap\murax.system_cpu.$procmux$2272_Y
  connect $techmap\murax.system_cpu.$procmux$2275_CMP $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2829$604_Y
  connect $techmap\murax.system_cpu.$0\decode_to_execute_REGFILE_WRITE_VALID[0:0] $techmap\murax.system_cpu.$procmux$2274_Y
  connect $techmap\murax.system_cpu.$procmux$2277_CMP $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2826$603_Y
  connect $techmap\murax.system_cpu.$0\decode_to_execute_BRANCH_CTRL[1:0] $techmap\murax.system_cpu.$procmux$2276_Y
  connect $techmap\murax.system_cpu.$procmux$2279_CMP $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2823$602_Y
  connect $techmap\murax.system_cpu.$0\memory_to_writeBack_MEMORY_READ_DATA[31:0] $techmap\murax.system_cpu.$procmux$2278_Y
  connect $techmap\murax.system_cpu.$procmux$2281_CMP $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2820$601_Y
  connect $techmap\murax.system_cpu.$0\decode_to_execute_IS_CSR[0:0] $techmap\murax.system_cpu.$procmux$2280_Y
  connect $techmap\murax.system_cpu.$procmux$2283_CMP $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2817$600_Y
  connect $techmap\murax.system_cpu.$0\decode_to_execute_RS1[31:0] $techmap\murax.system_cpu.$procmux$2282_Y
  connect $techmap\murax.system_cpu.$procmux$2285_CMP $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2814$599_Y
  connect $techmap\murax.system_cpu.$0\execute_to_memory_BRANCH_DO[0:0] $techmap\murax.system_cpu.$procmux$2284_Y
  connect $techmap\murax.system_cpu.$procmux$2287_CMP $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2811$598_Y
  connect $techmap\murax.system_cpu.$0\decode_to_execute_ALU_CTRL[1:0] $techmap\murax.system_cpu.$procmux$2286_Y
  connect $techmap\murax.system_cpu.$procmux$2289_CMP $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2808$597_Y
  connect $techmap\murax.system_cpu.$0\decode_to_execute_SRC_LESS_UNSIGNED[0:0] $techmap\murax.system_cpu.$procmux$2288_Y
  connect $techmap\murax.system_cpu.$procmux$2291_CMP $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2805$596_Y
  connect $techmap\murax.system_cpu.$0\execute_to_memory_BRANCH_CALC[31:0] $techmap\murax.system_cpu.$procmux$2290_Y
  connect $techmap\murax.system_cpu.$procmux$2293_CMP $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2802$595_Y
  connect $techmap\murax.system_cpu.$0\decode_to_execute_SRC1[31:0] $techmap\murax.system_cpu.$procmux$2292_Y
  connect $techmap\murax.system_cpu.$procmux$2295_CMP $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2799$594_Y
  connect $techmap\murax.system_cpu.$0\execute_to_memory_ENV_CTRL[1:0] $techmap\murax.system_cpu.$procmux$2294_Y
  connect $techmap\murax.system_cpu.$procmux$2297_CMP $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2796$593_Y
  connect $techmap\murax.system_cpu.$0\decode_to_execute_ENV_CTRL[1:0] $techmap\murax.system_cpu.$procmux$2296_Y
  connect $techmap\murax.system_cpu.$procmux$2299_CMP $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2793$592_Y
  connect $techmap\murax.system_cpu.$0\memory_to_writeBack_MEMORY_ENABLE[0:0] $techmap\murax.system_cpu.$procmux$2298_Y
  connect $techmap\murax.system_cpu.$procmux$2301_CMP $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2790$591_Y
  connect $techmap\murax.system_cpu.$0\execute_to_memory_MEMORY_ENABLE[0:0] $techmap\murax.system_cpu.$procmux$2300_Y
  connect $techmap\murax.system_cpu.$procmux$2303_CMP $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2787$590_Y
  connect $techmap\murax.system_cpu.$0\decode_to_execute_MEMORY_ENABLE[0:0] $techmap\murax.system_cpu.$procmux$2302_Y
  connect $techmap\murax.system_cpu.$procmux$2305_CMP $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2784$589_Y
  connect $techmap\murax.system_cpu.$0\decode_to_execute_SRC2[31:0] $techmap\murax.system_cpu.$procmux$2304_Y
  connect $techmap\murax.system_cpu.$procmux$2307_CMP $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2781$588_Y
  connect $techmap\murax.system_cpu.$0\decode_to_execute_RS2[31:0] $techmap\murax.system_cpu.$procmux$2306_Y
  connect $techmap\murax.system_cpu.$procmux$2309_CMP $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2778$587_Y
  connect $techmap\murax.system_cpu.$0\decode_to_execute_ALU_BITWISE_CTRL[1:0] $techmap\murax.system_cpu.$procmux$2308_Y
  connect $techmap\murax.system_cpu.$procmux$2311_CMP $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2775$586_Y
  connect $techmap\murax.system_cpu.$0\decode_to_execute_CSR_READ_OPCODE[0:0] $techmap\murax.system_cpu.$procmux$2310_Y
  connect $techmap\murax.system_cpu.$procmux$2313_CMP $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2772$585_Y
  connect $techmap\murax.system_cpu.$0\execute_to_memory_REGFILE_WRITE_DATA[31:0] $techmap\murax.system_cpu.$procmux$2312_Y
  connect $techmap\murax.system_cpu.$procmux$2315_CMP $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2769$584_Y
  connect $techmap\murax.system_cpu.$0\execute_to_memory_BYPASSABLE_MEMORY_STAGE[0:0] $techmap\murax.system_cpu.$procmux$2314_Y
  connect $techmap\murax.system_cpu.$procmux$2317_CMP $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2766$583_Y
  connect $techmap\murax.system_cpu.$0\decode_to_execute_BYPASSABLE_MEMORY_STAGE[0:0] $techmap\murax.system_cpu.$procmux$2316_Y
  connect $techmap\murax.system_cpu.$procmux$2319_CMP $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2763$582_Y
  connect $techmap\murax.system_cpu.$0\decode_to_execute_SRC_USE_SUB_LESS[0:0] $techmap\murax.system_cpu.$procmux$2318_Y
  connect $techmap\murax.system_cpu.$procmux$2321_CMP $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2760$581_Y
  connect $techmap\murax.system_cpu.$0\decode_to_execute_BYPASSABLE_EXECUTE_STAGE[0:0] $techmap\murax.system_cpu.$procmux$2320_Y
  connect $techmap\murax.system_cpu.$procmux$2323_CMP $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2757$580_Y
  connect $techmap\murax.system_cpu.$0\decode_to_execute_CSR_WRITE_OPCODE[0:0] $techmap\murax.system_cpu.$procmux$2322_Y
  connect $techmap\murax.system_cpu.$procmux$2325_CMP $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2754$579_Y
  connect $techmap\murax.system_cpu.$0\memory_to_writeBack_PC[31:0] $techmap\murax.system_cpu.$procmux$2324_Y
  connect $techmap\murax.system_cpu.$procmux$2327_CMP $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2751$578_Y
  connect $techmap\murax.system_cpu.$0\execute_to_memory_PC[31:0] $techmap\murax.system_cpu.$procmux$2326_Y
  connect $techmap\murax.system_cpu.$procmux$2329_CMP $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2748$577_Y
  connect $techmap\murax.system_cpu.$0\decode_to_execute_PC[31:0] $techmap\murax.system_cpu.$procmux$2328_Y
  connect $techmap\murax.system_cpu.$procmux$2331_CMP $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2745$576_Y
  connect $techmap\murax.system_cpu.$0\fetch_to_decode_PC[31:0] $techmap\murax.system_cpu.$procmux$2330_Y
  connect $techmap\murax.system_cpu.$procmux$2333_CMP $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2742$575_Y
  connect $techmap\murax.system_cpu.$0\prefetch_to_fetch_PC[31:0] $techmap\murax.system_cpu.$procmux$2332_Y
  connect $techmap\murax.system_cpu.$procmux$2335_CMP $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2739$574_Y
  connect $techmap\murax.system_cpu.$0\decode_to_execute_IS_EBREAK[0:0] $techmap\murax.system_cpu.$procmux$2334_Y
  connect $techmap\murax.system_cpu.$procmux$2337_CMP \murax.system_cpu._zz_142
  connect $techmap\murax.system_cpu.$procmux$2339_CMP \murax.system_cpu._zz_141
  connect $techmap\murax.system_cpu.$0\execute_LightShifterPlugin_amplitudeReg[4:0] $techmap\murax.system_cpu.$procmux$2338_Y
  connect $techmap\murax.system_cpu.$procmux$2341_CMP \murax.system_cpu.execute_arbitration_isValid
  connect $techmap\murax.system_cpu.$procmux$2343_CMP $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2730$572_Y
  connect $techmap\murax.system_cpu.$0\execute_CsrPlugin_readDataRegValid[0:0] $techmap\murax.system_cpu.$procmux$2342_Y
  connect $techmap\murax.system_cpu.$procmux$2345_CMP \murax.system_cpu.writeBack_arbitration_isFiring
  connect $techmap\murax.system_cpu.$0\CsrPlugin_minstret[63:0] $techmap\murax.system_cpu.$procmux$2344_Y
  connect $techmap\murax.system_cpu.$procmux$2347_CMP \murax.system_cpu._zz_90
  connect $techmap\murax.system_cpu.$0\CsrPlugin_mbadaddr[31:0] $techmap\murax.system_cpu.$procmux$2346_Y
  connect $techmap\murax.system_cpu.$procmux$2349_CMP \murax.system_cpu._zz_145
  connect $techmap\murax.system_cpu.$procmux$2351_CMP \murax.system_cpu._zz_90
  connect $techmap\murax.system_cpu.$0\CsrPlugin_mcause_exceptionCode[3:0] $techmap\murax.system_cpu.$procmux$2350_Y
  connect $techmap\murax.system_cpu.$procmux$2353_CMP \murax.system_cpu._zz_145
  connect $techmap\murax.system_cpu.$0\CsrPlugin_mcause_interrupt[0:0] $techmap\murax.system_cpu.$procmux$2352_Y
  connect $techmap\murax.system_cpu.$procmux$2355_CMP \murax.system_cpu._zz_145
  connect $techmap\murax.system_cpu.$0\CsrPlugin_mepc[31:0] $techmap\murax.system_cpu.$procmux$2354_Y
  connect $techmap\murax.system_cpu.$procmux$2357_CMP $techmap\murax.system_cpu.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2704$556_Y
  connect $techmap\murax.system_cpu.$0\_zz_82[31:0] $techmap\murax.system_cpu.$procmux$2356_Y
  connect $techmap\murax.system_cpu.$0\_zz_132[0:0] \murax.system_cpu.DebugPlugin_resetIt
  connect $techmap\murax.system_cpu.$0\_zz_131[0:0] \murax.system_cpu.debug_bus_cmd_payload_address [2]
  connect $techmap\murax.system_cpu.$0\_zz_130[0:0] \murax.system_cpu.DebugPlugin_isPipActive
  connect $techmap\murax.system_cpu.$0\DebugPlugin_isPipActive[0:0] $techmap\murax.system_cpu.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2879$621_Y
  connect $techmap\murax.system_cpu.$0\DebugPlugin_secondCycle[0:0] \murax.system_cpu.DebugPlugin_firstCycle
  connect $techmap\murax.system_cpu.$procmux$2359_CMP \murax.system_cpu._zz_138
  connect $techmap\murax.system_cpu.$0\DebugPlugin_firstCycle[0:0] $techmap\murax.system_cpu.$procmux$2358_Y
  connect $techmap\murax.system_cpu.$procmux$2361_CMP \murax.system_cpu.writeBack_arbitration_isValid
  connect $techmap\murax.system_cpu.$0\DebugPlugin_busReadDataReg[31:0] $techmap\murax.system_cpu.$procmux$2360_Y
  connect $techmap\murax.system_cpu.$procmux$2363_CMP \murax.system_cpu.debug_bus_cmd_payload_data [25]
  connect $techmap\murax.system_cpu.$procmux$2365_CMP \murax.system_cpu.debug_bus_cmd_payload_wr
  connect $techmap\murax.system_cpu.$procmux$2369_CMP \murax.system_cpu.debug_bus_cmd_valid
  connect $techmap\murax.system_cpu.$procmux$2371_CMP \murax.system_cpu._zz_140
  connect $techmap\murax.system_cpu.$0\DebugPlugin_haltedByBreak[0:0] $techmap\murax.system_cpu.$procmux$2370_Y
  connect $techmap\murax.system_cpu.$procmux$2373_CMP \murax.system_cpu.debug_bus_cmd_payload_wr
  connect $techmap\murax.system_cpu.$procmux$2377_CMP \murax.system_cpu.debug_bus_cmd_valid
  connect $techmap\murax.system_cpu.$0\DebugPlugin_stepIt[0:0] $techmap\murax.system_cpu.$procmux$2376_Y
  connect $techmap\murax.system_cpu.$procmux$2379_CMP \murax.system_cpu.debug_bus_cmd_payload_data [17]
  connect $techmap\murax.system_cpu.$procmux$2381_CMP \murax.system_cpu.debug_bus_cmd_payload_data [25]
  connect $techmap\murax.system_cpu.$procmux$2383_CMP \murax.system_cpu.debug_bus_cmd_payload_wr
  connect $techmap\murax.system_cpu.$procmux$2387_CMP \murax.system_cpu.debug_bus_cmd_valid
  connect $techmap\murax.system_cpu.$procmux$2389_CMP \murax.system_cpu._zz_140
  connect $techmap\murax.system_cpu.$procmux$2391_CMP $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2925$623_Y
  connect $techmap\murax.system_cpu.$procmux$2393_CMP $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2928$625_Y
  connect $techmap\murax.system_cpu.$0\DebugPlugin_haltIt[0:0] $techmap\murax.system_cpu.$procmux$2392_Y
  connect $techmap\murax.system_cpu.$procmux$2395_CMP \murax.system_cpu.debug_bus_cmd_payload_data [16]
  connect $techmap\murax.system_cpu.$procmux$2397_CMP \murax.system_cpu.debug_bus_cmd_payload_data [24]
  connect $techmap\murax.system_cpu.$procmux$2399_CMP \murax.system_cpu.debug_bus_cmd_payload_wr
  connect $techmap\murax.system_cpu.$procmux$2403_CMP \murax.system_cpu.debug_bus_cmd_valid
  connect $techmap\murax.system_cpu.$0\DebugPlugin_resetIt[0:0] $techmap\murax.system_cpu.$procmux$2402_Y
  connect \murax.system_cpu._zz_139 $techmap\murax.system_cpu.$procmux$1685_Y
  connect \murax.system_cpu._zz_38 $techmap\murax.system_cpu.$procmux$1688_Y
  connect \murax.system_cpu.decode_REGFILE_WRITE_VALID $techmap\murax.system_cpu.$procmux$1691_Y
  connect \murax.system_cpu._zz_58 $techmap\murax.system_cpu.$procmux$1712_Y
  connect \murax.system_cpu.execute_arbitration_haltItself $techmap\murax.system_cpu.$procmux$1709_Y
  connect \murax.system_cpu._zz_62 $techmap\murax.system_cpu.$procmux$1724_Y
  connect \murax.system_cpu._zz_70 $techmap\murax.system_cpu.$procmux$1727_Y
  connect \murax.system_cpu.prefetch_arbitration_haltItself $techmap\murax.system_cpu.$procmux$1730_Y
  connect \murax.system_cpu.prefetch_arbitration_haltByOther $techmap\murax.system_cpu.$procmux$1733_Y
  connect \murax.system_cpu.decode_arbitration_flushAll $techmap\murax.system_cpu.$procmux$1736_Y
  connect \murax.system_cpu.prefetch_arbitration_removeIt $techmap\murax.system_cpu.$procmux$1745_Y
  connect \murax.system_cpu.fetch_arbitration_haltItself $techmap\murax.system_cpu.$procmux$1748_Y
  connect \murax.system_cpu.fetch_arbitration_removeIt $techmap\murax.system_cpu.$procmux$1751_Y
  connect \murax.system_cpu._zz_138 $techmap\murax.system_cpu.$procmux$1817_Y
  connect \murax.system_cpu.decode_arbitration_haltItself $techmap\murax.system_cpu.$procmux$1814_Y
  connect \murax.system_cpu.DebugPlugin_insertDecodeInstruction $techmap\murax.system_cpu.$procmux$1811_Y
  connect \murax.system_cpu.decode_arbitration_removeIt $techmap\murax.system_cpu.$procmux$1826_Y
  connect \murax.system_cpu.execute_arbitration_removeIt $techmap\murax.system_cpu.$procmux$1829_Y
  connect \murax.system_cpu.execute_arbitration_flushAll $techmap\murax.system_cpu.$procmux$1832_Y
  connect \murax.system_cpu.memory_arbitration_haltItself $techmap\murax.system_cpu.$procmux$1862_Y
  connect \murax.system_cpu._zz_74 $techmap\murax.system_cpu.$procmux$1859_Y
  connect \murax.system_cpu._zz_75 $techmap\murax.system_cpu.$procmux$1856_Y
  connect \murax.system_cpu.memory_arbitration_removeIt $techmap\murax.system_cpu.$procmux$1874_Y
  connect \murax.system_cpu.writeBack_arbitration_removeIt $techmap\murax.system_cpu.$procmux$1877_Y
  connect \murax.system_cpu._zz_77 $techmap\murax.system_cpu.$procmux$1880_Y
  connect \murax.system_cpu._zz_68 $techmap\murax.system_cpu.$procmux$1883_Y
  connect \murax.system_cpu._zz_83 $techmap\murax.system_cpu.$procmux$1886_Y
  connect \murax.system_cpu._zz_84 $techmap\murax.system_cpu.$procmux$1890_Y
  connect \murax.system_cpu.writeBack_DBusSimplePlugin_rspShifted { \murax.system_cpu.memory_to_writeBack_MEMORY_READ_DATA [31:16] $techmap\murax.system_cpu.$procmux$1895_Y $techmap\murax.system_cpu.$procmux$1898_Y }
  connect \murax.system_cpu._zz_86 { $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2002$317_Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2002$317_Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2002$317_Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2002$317_Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2002$317_Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2002$317_Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2002$317_Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2002$317_Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2002$317_Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2002$317_Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2002$317_Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2002$317_Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2002$317_Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2002$317_Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2002$317_Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2002$317_Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2002$317_Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2002$317_Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2002$317_Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2002$317_Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2002$317_Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2002$317_Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2002$317_Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2002$317_Y \murax.system_cpu.writeBack_DBusSimplePlugin_rspShifted [7:0] }
  connect \murax.system_cpu._zz_88 { $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2031$320_Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2031$320_Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2031$320_Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2031$320_Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2031$320_Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2031$320_Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2031$320_Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2031$320_Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2031$320_Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2031$320_Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2031$320_Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2031$320_Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2031$320_Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2031$320_Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2031$320_Y $techmap\murax.system_cpu.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:2031$320_Y \murax.system_cpu.writeBack_DBusSimplePlugin_rspShifted [15:0] }
  connect \murax.system_cpu.writeBack_DBusSimplePlugin_rspFormated $techmap\murax.system_cpu.$procmux$1903_Y
  connect \murax.system_cpu.CsrPlugin_pipelineLiberator_enable $techmap\murax.system_cpu.$procmux$1907_Y
  connect \murax.system_cpu._zz_89 $techmap\murax.system_cpu.$procmux$1910_Y
  connect \murax.system_cpu.execute_CsrPlugin_illegalAccess $techmap\murax.system_cpu.$procmux$1913_Y
  connect \murax.system_cpu.execute_CsrPlugin_readData { $techmap\murax.system_cpu.$procmux$1949_Y 18'000000000000000000 $techmap\murax.system_cpu.$procmux$1919_Y $techmap\murax.system_cpu.$procmux$1953_Y 3'000 $techmap\murax.system_cpu.$procmux$1932_Y 3'000 $techmap\murax.system_cpu.$procmux$1925_Y $techmap\murax.system_cpu.$procmux$1922_Y }
  connect \murax.system_cpu.execute_CsrPlugin_writeData $techmap\murax.system_cpu.$procmux$1958_Y
  connect \murax.system_cpu.writeBack_RegFilePlugin_regFileWrite_valid $techmap\murax.system_cpu.$procmux$1961_Y
  connect \murax.system_cpu.execute_IntAluPlugin_bitwise $techmap\murax.system_cpu.$procmux$1964_Y
  connect \murax.system_cpu._zz_108 $techmap\murax.system_cpu.$procmux$1969_Y
  connect \murax.system_cpu._zz_109 $techmap\murax.system_cpu.$procmux$1973_Y
  connect \murax.system_cpu._zz_111 { \murax.system_cpu.fetch_to_decode_INSTRUCTION [31] \murax.system_cpu.fetch_to_decode_INSTRUCTION [31] \murax.system_cpu.fetch_to_decode_INSTRUCTION [31] \murax.system_cpu.fetch_to_decode_INSTRUCTION [31] \murax.system_cpu.fetch_to_decode_INSTRUCTION [31] \murax.system_cpu.fetch_to_decode_INSTRUCTION [31] \murax.system_cpu.fetch_to_decode_INSTRUCTION [31] \murax.system_cpu.fetch_to_decode_INSTRUCTION [31] \murax.system_cpu.fetch_to_decode_INSTRUCTION [31] \murax.system_cpu.fetch_to_decode_INSTRUCTION [31] \murax.system_cpu.fetch_to_decode_INSTRUCTION [31] \murax.system_cpu.fetch_to_decode_INSTRUCTION [31] \murax.system_cpu.fetch_to_decode_INSTRUCTION [31] \murax.system_cpu.fetch_to_decode_INSTRUCTION [31] \murax.system_cpu.fetch_to_decode_INSTRUCTION [31] \murax.system_cpu.fetch_to_decode_INSTRUCTION [31] \murax.system_cpu.fetch_to_decode_INSTRUCTION [31] \murax.system_cpu.fetch_to_decode_INSTRUCTION [31] \murax.system_cpu.fetch_to_decode_INSTRUCTION [31] \murax.system_cpu.fetch_to_decode_INSTRUCTION [31] }
  connect \murax.system_cpu._zz_113 { \murax.system_cpu.fetch_to_decode_INSTRUCTION [31] \murax.system_cpu.fetch_to_decode_INSTRUCTION [31] \murax.system_cpu.fetch_to_decode_INSTRUCTION [31] \murax.system_cpu.fetch_to_decode_INSTRUCTION [31] \murax.system_cpu.fetch_to_decode_INSTRUCTION [31] \murax.system_cpu.fetch_to_decode_INSTRUCTION [31] \murax.system_cpu.fetch_to_decode_INSTRUCTION [31] \murax.system_cpu.fetch_to_decode_INSTRUCTION [31] \murax.system_cpu.fetch_to_decode_INSTRUCTION [31] \murax.system_cpu.fetch_to_decode_INSTRUCTION [31] \murax.system_cpu.fetch_to_decode_INSTRUCTION [31] \murax.system_cpu.fetch_to_decode_INSTRUCTION [31] \murax.system_cpu.fetch_to_decode_INSTRUCTION [31] \murax.system_cpu.fetch_to_decode_INSTRUCTION [31] \murax.system_cpu.fetch_to_decode_INSTRUCTION [31] \murax.system_cpu.fetch_to_decode_INSTRUCTION [31] \murax.system_cpu.fetch_to_decode_INSTRUCTION [31] \murax.system_cpu.fetch_to_decode_INSTRUCTION [31] \murax.system_cpu.fetch_to_decode_INSTRUCTION [31] \murax.system_cpu.fetch_to_decode_INSTRUCTION [31] }
  connect \murax.system_cpu._zz_114 $techmap\murax.system_cpu.$procmux$1977_Y
  connect \murax.system_cpu._zz_115 $techmap\murax.system_cpu.$procmux$1982_Y
  connect \murax.system_cpu._zz_116 $techmap\murax.system_cpu.$procmux$1988_Y
  connect \murax.system_cpu._zz_117 $techmap\murax.system_cpu.$procmux$1985_Y
  connect \murax.system_cpu._zz_121 $techmap\murax.system_cpu.$procmux$2120_Y
  connect \murax.system_cpu._zz_122 $techmap\murax.system_cpu.$procmux$2123_Y
  connect \murax.system_cpu._zz_124 { \murax.system_cpu.decode_to_execute_INSTRUCTION [31] \murax.system_cpu.decode_to_execute_INSTRUCTION [31] \murax.system_cpu.decode_to_execute_INSTRUCTION [31] \murax.system_cpu.decode_to_execute_INSTRUCTION [31] \murax.system_cpu.decode_to_execute_INSTRUCTION [31] \murax.system_cpu.decode_to_execute_INSTRUCTION [31] \murax.system_cpu.decode_to_execute_INSTRUCTION [31] \murax.system_cpu.decode_to_execute_INSTRUCTION [31] \murax.system_cpu.decode_to_execute_INSTRUCTION [31] \murax.system_cpu.decode_to_execute_INSTRUCTION [31] \murax.system_cpu.decode_to_execute_INSTRUCTION [31] }
  connect \murax.system_cpu._zz_126 { \murax.system_cpu.decode_to_execute_INSTRUCTION [31] \murax.system_cpu.decode_to_execute_INSTRUCTION [31] \murax.system_cpu.decode_to_execute_INSTRUCTION [31] \murax.system_cpu.decode_to_execute_INSTRUCTION [31] \murax.system_cpu.decode_to_execute_INSTRUCTION [31] \murax.system_cpu.decode_to_execute_INSTRUCTION [31] \murax.system_cpu.decode_to_execute_INSTRUCTION [31] \murax.system_cpu.decode_to_execute_INSTRUCTION [31] \murax.system_cpu.decode_to_execute_INSTRUCTION [31] \murax.system_cpu.decode_to_execute_INSTRUCTION [31] \murax.system_cpu.decode_to_execute_INSTRUCTION [31] \murax.system_cpu.decode_to_execute_INSTRUCTION [31] \murax.system_cpu.decode_to_execute_INSTRUCTION [31] \murax.system_cpu.decode_to_execute_INSTRUCTION [31] \murax.system_cpu.decode_to_execute_INSTRUCTION [31] \murax.system_cpu.decode_to_execute_INSTRUCTION [31] \murax.system_cpu.decode_to_execute_INSTRUCTION [31] \murax.system_cpu.decode_to_execute_INSTRUCTION [31] \murax.system_cpu.decode_to_execute_INSTRUCTION [31] \murax.system_cpu.decode_to_execute_INSTRUCTION [31] }
  connect \murax.system_cpu._zz_128 { \murax.system_cpu.decode_to_execute_INSTRUCTION [31] \murax.system_cpu.decode_to_execute_INSTRUCTION [31] \murax.system_cpu.decode_to_execute_INSTRUCTION [31] \murax.system_cpu.decode_to_execute_INSTRUCTION [31] \murax.system_cpu.decode_to_execute_INSTRUCTION [31] \murax.system_cpu.decode_to_execute_INSTRUCTION [31] \murax.system_cpu.decode_to_execute_INSTRUCTION [31] \murax.system_cpu.decode_to_execute_INSTRUCTION [31] \murax.system_cpu.decode_to_execute_INSTRUCTION [31] \murax.system_cpu.decode_to_execute_INSTRUCTION [31] \murax.system_cpu.decode_to_execute_INSTRUCTION [31] \murax.system_cpu.decode_to_execute_INSTRUCTION [31] \murax.system_cpu.decode_to_execute_INSTRUCTION [31] \murax.system_cpu.decode_to_execute_INSTRUCTION [31] \murax.system_cpu.decode_to_execute_INSTRUCTION [31] \murax.system_cpu.decode_to_execute_INSTRUCTION [31] \murax.system_cpu.decode_to_execute_INSTRUCTION [31] \murax.system_cpu.decode_to_execute_INSTRUCTION [31] \murax.system_cpu.decode_to_execute_INSTRUCTION [31] }
  connect \murax.system_cpu._zz_129 $techmap\murax.system_cpu.$procmux$2128_Y
  connect \murax.system_cpu.debug_bus_rsp_data { \murax.system_cpu.DebugPlugin_busReadDataReg [31:5] $techmap\murax.system_cpu.$procmux$2132_Y $techmap\murax.system_cpu.$procmux$2144_Y $techmap\murax.system_cpu.$procmux$2135_Y $techmap\murax.system_cpu.$procmux$2138_Y $techmap\murax.system_cpu.$procmux$2141_Y }
  connect \murax.system_mainBusArbiter.io_dBus_cmd_payload_address \murax._zz_6
  connect \murax.system_mainBusArbiter.io_dBus_cmd_payload_data \murax._zz_7
  connect \murax.system_mainBusArbiter.io_dBus_cmd_payload_size \murax._zz_8
  connect \murax.system_mainBusArbiter.io_dBus_cmd_payload_wr \murax._zz_5
  connect \murax._zz_24 \murax.system_mainBusArbiter.io_dBus_cmd_ready
  connect \murax.system_mainBusArbiter.io_dBus_cmd_valid \murax._zz_3
  connect \murax._zz_27 \murax.system_mainBusArbiter.io_dBus_rsp_data
  connect \murax._zz_26 \murax.system_mainBusArbiter.io_dBus_rsp_error
  connect \murax._zz_25 \murax.system_mainBusArbiter.io_dBus_rsp_ready
  connect \murax.system_mainBusArbiter.io_iBus_cmd_payload_pc \murax._zz_37
  connect \murax._zz_20 \murax.system_mainBusArbiter.io_iBus_cmd_ready
  connect \murax.system_mainBusArbiter.io_iBus_cmd_valid \murax._zz_36
  connect \murax._zz_22 \murax.system_mainBusArbiter.io_iBus_rsp_error
  connect \murax._zz_23 \murax.system_mainBusArbiter.io_iBus_rsp_inst
  connect \murax._zz_21 \murax.system_mainBusArbiter.io_iBus_rsp_ready
  connect \murax.system_mainBusArbiter.io_mainClk \murax.io_mainClk
  connect \murax._zz_30 \murax.system_mainBusArbiter.io_masterBus_cmd_payload_address
  connect \murax._zz_31 \murax.system_mainBusArbiter.io_masterBus_cmd_payload_data
  connect \murax._zz_32 \murax.system_mainBusArbiter.io_masterBus_cmd_payload_mask
  connect \murax._zz_29 \murax.system_mainBusArbiter.io_masterBus_cmd_payload_wr
  connect \murax.system_mainBusArbiter.io_masterBus_cmd_ready \murax.system_mainBusDecoder_logic_masterPipelined_cmd_ready
  connect \murax._zz_28 \murax.system_mainBusArbiter.io_masterBus_cmd_valid
  connect \murax.system_mainBusArbiter.io_masterBus_rsp_payload_data \murax.system_mainBusDecoder_logic_masterPipelined_rsp_payload_data
  connect \murax.system_mainBusArbiter.io_masterBus_rsp_valid \murax.system_mainBusDecoder_logic_masterPipelined_rsp_valid
  connect \murax.system_mainBusArbiter.resetCtrl_systemReset \murax.resetCtrl_systemReset
  connect \murax.system_mainBusArbiter.io_masterBus_cmd_valid \murax.system_mainBusArbiter._zz_2
  connect \murax.system_mainBusArbiter.io_masterBus_cmd_payload_wr \murax.system_mainBusArbiter._zz_3
  connect \murax.system_mainBusArbiter._zz_3 $techmap\murax.system_mainBusArbiter.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:857$121_Y
  connect \murax.system_mainBusArbiter.io_masterBus_cmd_payload_address $techmap\murax.system_mainBusArbiter.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:858$122_Y
  connect \murax.system_mainBusArbiter.io_masterBus_cmd_payload_data \murax.system_mainBusArbiter.io_dBus_cmd_payload_data
  connect \murax.system_mainBusArbiter.io_masterBus_cmd_payload_mask $techmap\murax.system_mainBusArbiter.$sshl$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:874$124_Y
  connect \murax.system_mainBusArbiter.io_iBus_rsp_ready $techmap\murax.system_mainBusArbiter.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:875$126_Y
  connect \murax.system_mainBusArbiter.io_iBus_rsp_inst \murax.system_mainBusArbiter.io_masterBus_rsp_payload_data
  connect \murax.system_mainBusArbiter.io_iBus_rsp_error 1'0
  connect \murax.system_mainBusArbiter.io_dBus_rsp_ready $techmap\murax.system_mainBusArbiter.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:878$127_Y
  connect \murax.system_mainBusArbiter.io_dBus_rsp_data \murax.system_mainBusArbiter.io_masterBus_rsp_payload_data
  connect \murax.system_mainBusArbiter.io_dBus_rsp_error 1'0
  connect $techmap\murax.system_mainBusArbiter.$procmux$1254_CMP $techmap\murax.system_mainBusArbiter.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:850$120_Y
  connect $techmap\murax.system_mainBusArbiter.$1\_zz_2[0:0] $techmap\murax.system_mainBusArbiter.$procmux$1253_Y
  connect $techmap\murax.system_mainBusArbiter.$procmux$1257_CMP $techmap\murax.system_mainBusArbiter.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:850$120_Y
  connect $techmap\murax.system_mainBusArbiter.$1\io_dBus_cmd_ready[0:0] $techmap\murax.system_mainBusArbiter.$procmux$1256_Y
  connect $techmap\murax.system_mainBusArbiter.$procmux$1260_CMP $techmap\murax.system_mainBusArbiter.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:850$120_Y
  connect $techmap\murax.system_mainBusArbiter.$1\io_iBus_cmd_ready[0:0] $techmap\murax.system_mainBusArbiter.$procmux$1259_Y
  connect $techmap\murax.system_mainBusArbiter.$0\io_dBus_cmd_ready[0:0] $techmap\murax.system_mainBusArbiter.$1\io_dBus_cmd_ready[0:0]
  connect $techmap\murax.system_mainBusArbiter.$0\io_iBus_cmd_ready[0:0] $techmap\murax.system_mainBusArbiter.$1\io_iBus_cmd_ready[0:0]
  connect $techmap\murax.system_mainBusArbiter.$0\_zz_2[0:0] $techmap\murax.system_mainBusArbiter.$1\_zz_2[0:0]
  connect $techmap\murax.system_mainBusArbiter.$1\_zz_1[3:0] $techmap\murax.system_mainBusArbiter.$procmux$1262_Y
  connect $techmap\murax.system_mainBusArbiter.$0\_zz_1[3:0] $techmap\murax.system_mainBusArbiter.$1\_zz_1[3:0]
  connect $techmap\murax.system_mainBusArbiter.$procmux$1266_CMP $techmap\murax.system_mainBusArbiter.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:889$131_Y
  connect $techmap\murax.system_mainBusArbiter.$0\rspTarget[0:0] $techmap\murax.system_mainBusArbiter.$procmux$1265_Y
  connect $techmap\murax.system_mainBusArbiter.$procmux$1268_CMP \murax.system_mainBusArbiter.io_masterBus_rsp_valid
  connect $techmap\murax.system_mainBusArbiter.$procmux$1270_CMP $techmap\murax.system_mainBusArbiter.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:889$131_Y
  connect $techmap\murax.system_mainBusArbiter.$0\rspPending[0:0] $techmap\murax.system_mainBusArbiter.$procmux$1269_Y
  connect \murax.system_mainBusArbiter._zz_2 $techmap\murax.system_mainBusArbiter.$procmux$1253_Y
  connect \murax.system_mainBusArbiter.io_iBus_cmd_ready $techmap\murax.system_mainBusArbiter.$procmux$1259_Y
  connect \murax.system_mainBusArbiter.io_dBus_cmd_ready $techmap\murax.system_mainBusArbiter.$procmux$1256_Y
  connect \murax.system_mainBusArbiter._zz_1 $techmap\murax.system_mainBusArbiter.$procmux$1262_Y
  connect \murax._zz_63 \murax.system_apbBridge.io_apb_PADDR
  connect \murax._zz_65 \murax.system_apbBridge.io_apb_PENABLE
  connect \murax.system_apbBridge.io_apb_PRDATA \murax._zz_82
  connect \murax.system_apbBridge.io_apb_PREADY \murax._zz_81
  connect \murax._zz_64 \murax.system_apbBridge.io_apb_PSEL
  connect \murax.system_apbBridge.io_apb_PSLVERROR \murax._zz_83
  connect \murax._zz_67 \murax.system_apbBridge.io_apb_PWDATA
  connect \murax._zz_66 \murax.system_apbBridge.io_apb_PWRITE
  connect \murax.system_apbBridge.io_mainClk \murax.io_mainClk
  connect \murax.system_apbBridge.io_simpleBus_cmd_payload_address \murax.system_mainBusDecoder_logic_masterPipelined_cmd_payload_address
  connect \murax.system_apbBridge.io_simpleBus_cmd_payload_data \murax.system_mainBusDecoder_logic_masterPipelined_cmd_payload_data
  connect \murax.system_apbBridge.io_simpleBus_cmd_payload_mask \murax.system_mainBusDecoder_logic_masterPipelined_cmd_payload_mask
  connect \murax.system_apbBridge.io_simpleBus_cmd_payload_wr \murax.system_mainBusDecoder_logic_masterPipelined_cmd_payload_wr
  connect \murax._zz_60 \murax.system_apbBridge.io_simpleBus_cmd_ready
  connect \murax.system_apbBridge.io_simpleBus_cmd_valid \murax._zz_13
  connect \murax._zz_62 \murax.system_apbBridge.io_simpleBus_rsp_payload_data
  connect \murax._zz_61 \murax.system_apbBridge.io_simpleBus_rsp_valid
  connect \murax.system_apbBridge.resetCtrl_systemReset \murax.resetCtrl_systemReset
  connect \murax.system_apbBridge._zz_10 $techmap\murax.system_apbBridge.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3349$718_Y
  connect \murax.system_apbBridge._zz_11 $techmap\murax.system_apbBridge.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3350$719_Y
  connect \murax.system_apbBridge.io_simpleBus_cmd_ready \murax.system_apbBridge._zz_3
  connect \murax.system_apbBridge.simpleBusStage_cmd_valid \murax.system_apbBridge._zz_2
  connect \murax.system_apbBridge._zz_1 \murax.system_apbBridge.simpleBusStage_cmd_ready
  connect \murax.system_apbBridge.simpleBusStage_cmd_payload_wr \murax.system_apbBridge._zz_4
  connect \murax.system_apbBridge.simpleBusStage_cmd_payload_address \murax.system_apbBridge._zz_5
  connect \murax.system_apbBridge.simpleBusStage_cmd_payload_data \murax.system_apbBridge._zz_6
  connect \murax.system_apbBridge.simpleBusStage_cmd_payload_mask \murax.system_apbBridge._zz_7
  connect \murax.system_apbBridge.io_simpleBus_rsp_valid \murax.system_apbBridge._zz_8
  connect \murax.system_apbBridge.io_simpleBus_rsp_payload_data \murax.system_apbBridge._zz_9
  connect \murax.system_apbBridge.io_apb_PSEL \murax.system_apbBridge.simpleBusStage_cmd_valid
  connect \murax.system_apbBridge.io_apb_PENABLE \murax.system_apbBridge.state
  connect \murax.system_apbBridge.io_apb_PWRITE \murax.system_apbBridge.simpleBusStage_cmd_payload_wr
  connect \murax.system_apbBridge.io_apb_PADDR \murax.system_apbBridge.simpleBusStage_cmd_payload_address [19:0]
  connect \murax.system_apbBridge.io_apb_PWDATA \murax.system_apbBridge.simpleBusStage_cmd_payload_data
  connect \murax.system_apbBridge.simpleBusStage_rsp_payload_data \murax.system_apbBridge.io_apb_PRDATA
  connect $techmap\murax.system_apbBridge.$procmux$1306_CMP \murax.system_apbBridge.io_apb_PREADY
  connect $techmap\murax.system_apbBridge.$procmux$1308_CMP $techmap\murax.system_apbBridge.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3363$721_Y
  connect $techmap\murax.system_apbBridge.$2\simpleBusStage_cmd_ready[0:0] $techmap\murax.system_apbBridge.$procmux$1307_Y
  connect $techmap\murax.system_apbBridge.$procmux$1312_CMP \murax.system_apbBridge.io_apb_PREADY
  connect $techmap\murax.system_apbBridge.$procmux$1314_CMP $techmap\murax.system_apbBridge.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3363$721_Y
  connect $techmap\murax.system_apbBridge.$2\simpleBusStage_rsp_valid[0:0] $techmap\murax.system_apbBridge.$procmux$1313_Y
  connect $techmap\murax.system_apbBridge.$procmux$1317_CMP $techmap\murax.system_apbBridge.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3363$721_Y
  connect $techmap\murax.system_apbBridge.$1\simpleBusStage_rsp_valid[0:0] $techmap\murax.system_apbBridge.$procmux$1316_Y
  connect $techmap\murax.system_apbBridge.$procmux$1320_CMP $techmap\murax.system_apbBridge.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3363$721_Y
  connect $techmap\murax.system_apbBridge.$1\simpleBusStage_cmd_ready[0:0] $techmap\murax.system_apbBridge.$procmux$1319_Y
  connect $techmap\murax.system_apbBridge.$0\simpleBusStage_rsp_valid[0:0] $techmap\murax.system_apbBridge.$1\simpleBusStage_rsp_valid[0:0]
  connect $techmap\murax.system_apbBridge.$0\simpleBusStage_cmd_ready[0:0] $techmap\murax.system_apbBridge.$1\simpleBusStage_cmd_ready[0:0]
  connect $techmap\murax.system_apbBridge.$procmux$1322_CMP \murax.system_apbBridge.io_apb_PREADY
  connect $techmap\murax.system_apbBridge.$procmux$1325_CMP \murax.system_apbBridge._zz_11
  connect $techmap\murax.system_apbBridge.$0\state[0:0] $techmap\murax.system_apbBridge.$procmux$1324_Y
  connect $techmap\murax.system_apbBridge.$0\_zz_8[0:0] \murax.system_apbBridge.simpleBusStage_rsp_valid
  connect $techmap\murax.system_apbBridge.$procmux$1328_CMP \murax.system_apbBridge._zz_10
  connect $techmap\murax.system_apbBridge.$0\_zz_3[0:0] $techmap\murax.system_apbBridge.$procmux$1327_Y
  connect $techmap\murax.system_apbBridge.$procmux$1331_CMP \murax.system_apbBridge._zz_10
  connect $techmap\murax.system_apbBridge.$0\_zz_2[0:0] $techmap\murax.system_apbBridge.$procmux$1330_Y
  connect $techmap\murax.system_apbBridge.$0\_zz_9[31:0] \murax.system_apbBridge.simpleBusStage_rsp_payload_data
  connect $techmap\murax.system_apbBridge.$procmux$1333_CMP \murax.system_apbBridge._zz_10
  connect $techmap\murax.system_apbBridge.$0\_zz_7[3:0] $techmap\murax.system_apbBridge.$procmux$1332_Y
  connect $techmap\murax.system_apbBridge.$procmux$1335_CMP \murax.system_apbBridge._zz_10
  connect $techmap\murax.system_apbBridge.$0\_zz_6[31:0] $techmap\murax.system_apbBridge.$procmux$1334_Y
  connect $techmap\murax.system_apbBridge.$procmux$1337_CMP \murax.system_apbBridge._zz_10
  connect $techmap\murax.system_apbBridge.$0\_zz_5[31:0] $techmap\murax.system_apbBridge.$procmux$1336_Y
  connect $techmap\murax.system_apbBridge.$procmux$1339_CMP \murax.system_apbBridge._zz_10
  connect $techmap\murax.system_apbBridge.$0\_zz_4[0:0] $techmap\murax.system_apbBridge.$procmux$1338_Y
  connect \murax.system_apbBridge.simpleBusStage_cmd_ready $techmap\murax.system_apbBridge.$procmux$1319_Y
  connect \murax.system_apbBridge.simpleBusStage_rsp_valid $techmap\murax.system_apbBridge.$procmux$1316_Y
  connect \murax.io_apb_decoder.io_input_PADDR \murax._zz_63
  connect \murax.io_apb_decoder.io_input_PENABLE \murax._zz_65
  connect \murax._zz_82 \murax.io_apb_decoder.io_input_PRDATA
  connect \murax._zz_81 \murax.io_apb_decoder.io_input_PREADY
  connect \murax.io_apb_decoder.io_input_PSEL \murax._zz_64
  connect \murax._zz_83 \murax.io_apb_decoder.io_input_PSLVERROR
  connect \murax.io_apb_decoder.io_input_PWDATA \murax._zz_67
  connect \murax.io_apb_decoder.io_input_PWRITE \murax._zz_66
  connect \murax._zz_84 \murax.io_apb_decoder.io_output_PADDR
  connect \murax._zz_86 \murax.io_apb_decoder.io_output_PENABLE
  connect \murax.io_apb_decoder.io_output_PRDATA \murax._zz_90
  connect \murax.io_apb_decoder.io_output_PREADY \murax._zz_89
  connect \murax._zz_85 \murax.io_apb_decoder.io_output_PSEL
  connect \murax.io_apb_decoder.io_output_PSLVERROR \murax._zz_91
  connect \murax._zz_88 \murax.io_apb_decoder.io_output_PWDATA
  connect \murax._zz_87 \murax.io_apb_decoder.io_output_PWRITE
  connect \murax.io_apb_decoder._zz_1 20'11111111000000000000
  connect \murax.io_apb_decoder._zz_2 20'11111111000000000000
  connect \murax.io_apb_decoder._zz_3 20'11111111000000000000
  connect \murax.io_apb_decoder.io_output_PADDR \murax.io_apb_decoder.io_input_PADDR
  connect \murax.io_apb_decoder.io_output_PENABLE \murax.io_apb_decoder.io_input_PENABLE
  connect \murax.io_apb_decoder.io_output_PWRITE \murax.io_apb_decoder.io_input_PWRITE
  connect \murax.io_apb_decoder.io_output_PWDATA \murax.io_apb_decoder.io_input_PWDATA
  connect \murax.io_apb_decoder.io_input_PREADY \murax.io_apb_decoder.io_output_PREADY
  connect \murax.io_apb_decoder.io_input_PRDATA \murax.io_apb_decoder.io_output_PRDATA
  connect \murax.io_apb_decoder.io_input_PSLVERROR \murax.io_apb_decoder.io_output_PSLVERROR
  connect $techmap\murax.io_apb_decoder.$0\io_output_PSEL[2:0] [2] $techmap\murax.io_apb_decoder.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3959$806_Y
  connect $techmap\murax.io_apb_decoder.$0\io_output_PSEL[2:0] [1] $techmap\murax.io_apb_decoder.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3958$803_Y
  connect $techmap\murax.io_apb_decoder.$0\io_output_PSEL[2:0] [0] $techmap\murax.io_apb_decoder.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3957$800_Y
  connect \murax.io_apb_decoder.io_output_PSEL { $techmap\murax.io_apb_decoder.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3959$806_Y $techmap\murax.io_apb_decoder.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3958$803_Y $techmap\murax.io_apb_decoder.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3957$800_Y }
  connect \murax.system_gpioACtrl.io_apb_PADDR \murax._zz_14
  connect \murax.system_gpioACtrl.io_apb_PENABLE \murax._zz_94
  connect \murax._zz_69 \murax.system_gpioACtrl.io_apb_PRDATA
  connect \murax._zz_68 \murax.system_gpioACtrl.io_apb_PREADY
  connect \murax.system_gpioACtrl.io_apb_PSEL \murax._zz_93
  connect \murax._zz_70 \murax.system_gpioACtrl.io_apb_PSLVERROR
  connect \murax.system_gpioACtrl.io_apb_PWDATA \murax._zz_96
  connect \murax.system_gpioACtrl.io_apb_PWRITE \murax._zz_95
  connect \murax.system_gpioACtrl.io_gpio_read \murax.io_gpioA_read
  connect \murax._zz_71 \murax.system_gpioACtrl.io_gpio_write
  connect \murax._zz_72 \murax.system_gpioACtrl.io_gpio_writeEnable
  connect \murax.system_gpioACtrl.io_mainClk \murax.io_mainClk
  connect \murax.system_gpioACtrl.resetCtrl_systemReset \murax.resetCtrl_systemReset
  connect \murax.system_gpioACtrl.io_apb_PREADY \murax.system_gpioACtrl._zz_3
  connect \murax.system_gpioACtrl._zz_3 1'1
  connect \murax.system_gpioACtrl.io_apb_PSLVERROR 1'0
  connect \murax.system_gpioACtrl.ctrl_askWrite $techmap\murax.system_gpioACtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3455$729_Y
  connect \murax.system_gpioACtrl.ctrl_askRead $techmap\murax.system_gpioACtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3456$732_Y
  connect \murax.system_gpioACtrl.ctrl_doWrite $techmap\murax.system_gpioACtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3457$735_Y
  connect \murax.system_gpioACtrl.ctrl_doRead $techmap\murax.system_gpioACtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3458$739_Y
  connect \murax.system_gpioACtrl.io_gpio_write \murax.system_gpioACtrl._zz_1
  connect \murax.system_gpioACtrl.io_gpio_writeEnable \murax.system_gpioACtrl._zz_2
  connect $techmap\murax.system_gpioACtrl.$1\io_apb_PRDATA[31:0] $techmap\murax.system_gpioACtrl.$procmux$849_Y
  connect $techmap\murax.system_gpioACtrl.$0\io_apb_PRDATA[31:0] $techmap\murax.system_gpioACtrl.$1\io_apb_PRDATA[31:0]
  connect $techmap\murax.system_gpioACtrl.$procmux$854_CMP \murax.system_gpioACtrl.ctrl_doWrite
  connect $techmap\murax.system_gpioACtrl.$0\_zz_2[31:0] $techmap\murax.system_gpioACtrl.$procmux$855_Y
  connect $techmap\murax.system_gpioACtrl.$procmux$858_CMP \murax.system_gpioACtrl.ctrl_doWrite
  connect $techmap\murax.system_gpioACtrl.$0\_zz_1[31:0] $techmap\murax.system_gpioACtrl.$procmux$859_Y
  connect \murax.system_gpioACtrl.io_apb_PRDATA $techmap\murax.system_gpioACtrl.$procmux$849_Y
  connect \murax.system_timer.io_apb_PADDR \murax._zz_16
  connect \murax.system_timer.io_apb_PENABLE \murax._zz_104
  connect \murax._zz_78 \murax.system_timer.io_apb_PRDATA
  connect \murax._zz_77 \murax.system_timer.io_apb_PREADY
  connect \murax.system_timer.io_apb_PSEL \murax._zz_103
  connect \murax._zz_79 \murax.system_timer.io_apb_PSLVERROR
  connect \murax.system_timer.io_apb_PWDATA \murax._zz_106
  connect \murax.system_timer.io_apb_PWRITE \murax._zz_105
  connect \murax._zz_80 \murax.system_timer.io_interrupt
  connect \murax.system_timer.io_mainClk \murax.io_mainClk
  connect \murax.system_timer.resetCtrl_systemReset \murax.resetCtrl_systemReset
  connect \murax.system_timer.io_apb_PREADY \murax.system_timer._zz_16
  connect \murax.system_timer._zz_16 1'1
  connect \murax.system_timer.io_apb_PSLVERROR 1'0
  connect \murax.system_timer.busCtrl_askWrite $techmap\murax.system_timer.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3815$770_Y
  connect \murax.system_timer.busCtrl_askRead $techmap\murax.system_timer.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3816$773_Y
  connect \murax.system_timer.busCtrl_doWrite $techmap\murax.system_timer.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3817$776_Y
  connect \murax.system_timer.busCtrl_doRead $techmap\murax.system_timer.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3818$780_Y
  connect \murax.system_timer._zz_11 $techmap\murax.system_timer.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3829$784_Y
  connect \murax.system_timer._zz_10 $techmap\murax.system_timer.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3830$786_Y
  connect \murax.system_timer._zz_13 $techmap\murax.system_timer.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3841$790_Y
  connect \murax.system_timer._zz_12 $techmap\murax.system_timer.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3842$792_Y
  connect \murax.system_timer.io_interrupt $techmap\murax.system_timer.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3848$794_Y
  connect $techmap\murax.system_timer.$1\io_apb_PRDATA[16:0] [16] $techmap\murax.system_timer.$procmux$1072_Y
  connect $techmap\murax.system_timer.$1\io_apb_PRDATA[16:0] [1:0] $techmap\murax.system_timer.$procmux$1076_Y
  connect $techmap\murax.system_timer.$procmux$1091_CMP \murax.system_timer.busCtrl_doWrite
  connect $techmap\murax.system_timer.$2\_zz_8[0:0] $techmap\murax.system_timer.$procmux$1092_Y
  connect $techmap\murax.system_timer.$1\io_apb_PRDATA[16:0] [15:2] $techmap\murax.system_timer.$procmux$1097_Y
  connect $techmap\murax.system_timer.$procmux$1109_CMP \murax.system_timer.busCtrl_doWrite
  connect $techmap\murax.system_timer.$2\_zz_7[0:0] $techmap\murax.system_timer.$procmux$1110_Y
  connect $techmap\murax.system_timer.$procmux$1120_CMP \murax.system_timer.busCtrl_doWrite
  connect $techmap\murax.system_timer.$2\_zz_5[0:0] $techmap\murax.system_timer.$procmux$1121_Y
  connect $techmap\murax.system_timer.$procmux$1132_CMP \murax.system_timer.busCtrl_doWrite
  connect $techmap\murax.system_timer.$2\_zz_4[0:0] $techmap\murax.system_timer.$procmux$1133_Y
  connect $techmap\murax.system_timer.$procmux$1146_CMP \murax.system_timer.busCtrl_doWrite
  connect $techmap\murax.system_timer.$2\_zz_2[0:0] $techmap\murax.system_timer.$procmux$1147_Y
  connect $techmap\murax.system_timer.$1\_zz_2[0:0] $techmap\murax.system_timer.$procmux$1158_Y
  connect $techmap\murax.system_timer.$procmux$1164_CMP \murax.system_timer.busCtrl_doWrite
  connect $techmap\murax.system_timer.$2\_zz_15[1:0] $techmap\murax.system_timer.$procmux$1165_Y
  connect $techmap\murax.system_timer.$1\_zz_15[1:0] $techmap\murax.system_timer.$procmux$1169_Y
  connect $techmap\murax.system_timer.$1\_zz_8[0:0] $techmap\murax.system_timer.$procmux$1174_Y
  connect $techmap\murax.system_timer.$1\_zz_7[0:0] $techmap\murax.system_timer.$procmux$1180_Y
  connect $techmap\murax.system_timer.$1\_zz_5[0:0] $techmap\murax.system_timer.$procmux$1188_Y
  connect $techmap\murax.system_timer.$1\_zz_4[0:0] $techmap\murax.system_timer.$procmux$1197_Y
  connect $techmap\murax.system_timer.$0\io_apb_PRDATA[31:0] [16:0] $techmap\murax.system_timer.$1\io_apb_PRDATA[16:0]
  connect $techmap\murax.system_timer.$0\_zz_15[1:0] $techmap\murax.system_timer.$1\_zz_15[1:0]
  connect $techmap\murax.system_timer.$0\_zz_8[0:0] $techmap\murax.system_timer.$1\_zz_8[0:0]
  connect $techmap\murax.system_timer.$0\_zz_7[0:0] $techmap\murax.system_timer.$1\_zz_7[0:0]
  connect $techmap\murax.system_timer.$0\_zz_5[0:0] $techmap\murax.system_timer.$1\_zz_5[0:0]
  connect $techmap\murax.system_timer.$0\_zz_4[0:0] $techmap\murax.system_timer.$1\_zz_4[0:0]
  connect $techmap\murax.system_timer.$0\_zz_2[0:0] $techmap\murax.system_timer.$1\_zz_2[0:0]
  connect $techmap\murax.system_timer.$0\io_apb_PRDATA[31:0] [31:17] 15'000000000000000
  connect $techmap\murax.system_timer.$procmux$1201_CMP \murax.system_timer._zz_5
  connect $techmap\murax.system_timer.$2\timerABridge_busClearing[0:0] $techmap\murax.system_timer.$procmux$1200_Y
  connect $techmap\murax.system_timer.$procmux$1204_CMP \murax.system_timer._zz_4
  connect $techmap\murax.system_timer.$1\timerABridge_busClearing[0:0] $techmap\murax.system_timer.$procmux$1203_Y
  connect $techmap\murax.system_timer.$0\timerABridge_busClearing[0:0] $techmap\murax.system_timer.$2\timerABridge_busClearing[0:0]
  connect $techmap\murax.system_timer.$procmux$1207_CMP \murax.system_timer._zz_8
  connect $techmap\murax.system_timer.$2\timerBBridge_busClearing[0:0] $techmap\murax.system_timer.$procmux$1206_Y
  connect $techmap\murax.system_timer.$procmux$1210_CMP \murax.system_timer._zz_7
  connect $techmap\murax.system_timer.$1\timerBBridge_busClearing[0:0] $techmap\murax.system_timer.$procmux$1209_Y
  connect $techmap\murax.system_timer.$0\timerBBridge_busClearing[0:0] $techmap\murax.system_timer.$2\timerBBridge_busClearing[0:0]
  connect $techmap\murax.system_timer.$0\_zz_14[1:0] [1] \murax.system_timer._zz_20
  connect $techmap\murax.system_timer.$0\_zz_14[1:0] [0] \murax.system_timer._zz_18
  connect $techmap\murax.system_timer.$procmux$1213_CMP \murax.system_timer.busCtrl_doWrite
  connect $techmap\murax.system_timer.$0\timerBBridge_clearsEnable[0:0] $techmap\murax.system_timer.$procmux$1214_Y
  connect $techmap\murax.system_timer.$procmux$1218_CMP \murax.system_timer.busCtrl_doWrite
  connect $techmap\murax.system_timer.$0\timerBBridge_ticksEnable[1:0] $techmap\murax.system_timer.$procmux$1219_Y
  connect $techmap\murax.system_timer.$procmux$1224_CMP \murax.system_timer.busCtrl_doWrite
  connect $techmap\murax.system_timer.$0\timerABridge_clearsEnable[0:0] $techmap\murax.system_timer.$procmux$1225_Y
  connect $techmap\murax.system_timer.$procmux$1230_CMP \murax.system_timer.busCtrl_doWrite
  connect $techmap\murax.system_timer.$0\timerABridge_ticksEnable[1:0] $techmap\murax.system_timer.$procmux$1231_Y
  connect $techmap\murax.system_timer.$procmux$1234_CMP \murax.system_timer.busCtrl_doWrite
  connect $techmap\murax.system_timer.$0\_zz_9[1:0] $techmap\murax.system_timer.$procmux$1235_Y
  connect $techmap\murax.system_timer.$procmux$1240_CMP \murax.system_timer.busCtrl_doWrite
  connect $techmap\murax.system_timer.$0\_zz_1[15:0] $techmap\murax.system_timer.$procmux$1241_Y
  connect $techmap\murax.system_timer.$procmux$1244_CMP \murax.system_timer.busCtrl_doWrite
  connect $techmap\murax.system_timer.$0\_zz_6[15:0] $techmap\murax.system_timer.$procmux$1245_Y
  connect $techmap\murax.system_timer.$procmux$1249_CMP \murax.system_timer.busCtrl_doWrite
  connect $techmap\murax.system_timer.$0\_zz_3[15:0] $techmap\murax.system_timer.$procmux$1250_Y
  connect \murax.system_timer._zz_2 $techmap\murax.system_timer.$procmux$1158_Y
  connect \murax.system_timer._zz_4 $techmap\murax.system_timer.$procmux$1197_Y
  connect \murax.system_timer._zz_5 $techmap\murax.system_timer.$procmux$1188_Y
  connect \murax.system_timer._zz_7 $techmap\murax.system_timer.$procmux$1180_Y
  connect \murax.system_timer._zz_8 $techmap\murax.system_timer.$procmux$1174_Y
  connect \murax.system_timer._zz_15 $techmap\murax.system_timer.$procmux$1169_Y
  connect \murax.system_timer.io_apb_PRDATA { 15'000000000000000 $techmap\murax.system_timer.$procmux$1072_Y $techmap\murax.system_timer.$procmux$1097_Y $techmap\murax.system_timer.$procmux$1076_Y }
  connect \murax.system_timer.timerABridge_busClearing $techmap\murax.system_timer.$procmux$1200_Y
  connect \murax.system_timer.timerBBridge_busClearing $techmap\murax.system_timer.$procmux$1206_Y
  connect \murax.system_timer._zz_14 { \murax.system_timer._zz_20 \murax.system_timer._zz_18 }
  connect \murax.system_uartCtrl.io_apb_PADDR \murax._zz_15
  connect \murax.system_uartCtrl.io_apb_PENABLE \murax._zz_99
  connect \murax._zz_74 \murax.system_uartCtrl.io_apb_PRDATA
  connect \murax._zz_73 \murax.system_uartCtrl.io_apb_PREADY
  connect \murax.system_uartCtrl.io_apb_PSEL \murax._zz_98
  connect \murax.system_uartCtrl.io_apb_PWDATA \murax._zz_101
  connect \murax.system_uartCtrl.io_apb_PWRITE \murax._zz_100
  connect \murax._zz_76 \murax.system_uartCtrl.io_interrupt
  connect \murax.system_uartCtrl.io_mainClk \murax.io_mainClk
  connect \murax.system_uartCtrl.io_uart_rxd \murax.io_uart_rxd
  connect \murax._zz_75 \murax.system_uartCtrl.io_uart_txd
  connect \murax.system_uartCtrl.resetCtrl_systemReset \murax.resetCtrl_systemReset
  connect \murax.system_uartCtrl.io_apb_PREADY \murax.system_uartCtrl._zz_6
  connect \murax.system_uartCtrl._zz_21 \murax.system_uartCtrl.io_apb_PWDATA [0]
  connect \murax.system_uartCtrl._zz_22 \murax.system_uartCtrl.io_apb_PWDATA [1]
  connect \murax.system_uartCtrl._zz_23 $techmap\murax.system_uartCtrl.$sub$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3561$744_Y
  connect \murax.system_uartCtrl.io_uart_txd \murax.system_uartCtrl._zz_10
  connect \murax.system_uartCtrl._zz_6 1'1
  connect \murax.system_uartCtrl.busCtrl_askWrite $techmap\murax.system_uartCtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3633$747_Y
  connect \murax.system_uartCtrl.busCtrl_askRead $techmap\murax.system_uartCtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3634$750_Y
  connect \murax.system_uartCtrl.busCtrl_doWrite $techmap\murax.system_uartCtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3635$753_Y
  connect \murax.system_uartCtrl.busCtrl_doRead $techmap\murax.system_uartCtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3636$757_Y
  connect \murax.system_uartCtrl._zz_24 $techmap\murax.system_uartCtrl.$func$\zz_bridge_uartConfigReg_clockDivider$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3637$742$\zz_bridge_uartConfigReg_clockDivider
  connect \murax.system_uartCtrl.bridge_uartConfigReg_frame_dataLength 3'111
  connect \murax.system_uartCtrl.bridge_uartConfigReg_frame_parity 2'00
  connect \murax.system_uartCtrl.bridge_uartConfigReg_frame_stop 1'0
  connect \murax.system_uartCtrl.bridge_write_streamUnbuffered_valid \murax.system_uartCtrl._zz_1
  connect \murax.system_uartCtrl.bridge_write_streamUnbuffered_payload \murax.system_uartCtrl._zz_2
  connect \murax.system_uartCtrl.bridge_write_streamUnbuffered_ready \murax.system_uartCtrl._zz_11
  connect \murax.system_uartCtrl.bridge_interruptCtrl_readInt $techmap\murax.system_uartCtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3645$759_Y
  connect \murax.system_uartCtrl.bridge_interruptCtrl_writeInt $techmap\murax.system_uartCtrl.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3646$761_Y
  connect \murax.system_uartCtrl.bridge_interruptCtrl_interrupt $techmap\murax.system_uartCtrl.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3647$762_Y
  connect \murax.system_uartCtrl.io_interrupt \murax.system_uartCtrl.bridge_interruptCtrl_interrupt
  connect \murax.system_uartCtrl._zz_2 \murax.system_uartCtrl.io_apb_PWDATA [7:0]
  connect \murax.system_uartCtrl._zz_3 1'0
  connect \murax.system_uartCtrl._zz_5 1'0
  connect $techmap\murax.system_uartCtrl.$0$func$\zz_bridge_uartConfigReg_clockDivider$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3637$742$\zz_bridge_uartConfigReg_clockDivider[19:0]$765 20'00000000000000010011
  connect $techmap\murax.system_uartCtrl.$0$func$\zz_bridge_uartConfigReg_clockDivider$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3637$743$\zz_bridge_uartConfigReg_clockDivider[19:0]$766 20'00000000000000010011
  connect $techmap\murax.system_uartCtrl.$0$func$\zz_bridge_uartConfigReg_clockDivider$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3637$743$\dummy[0:0]$767 1'0
  connect $techmap\murax.system_uartCtrl.$2\io_apb_PRDATA[20:16] [4:1] $techmap\murax.system_uartCtrl.$procmux$874_Y
  connect $techmap\murax.system_uartCtrl.$1\io_apb_PRDATA[9:0] [7:2] $techmap\murax.system_uartCtrl.$procmux$878_Y
  connect $techmap\murax.system_uartCtrl.$1\io_apb_PRDATA[9:0] [8] $techmap\murax.system_uartCtrl.$procmux$881_Y
  connect $techmap\murax.system_uartCtrl.$2\io_apb_PRDATA[20:16] [0] $techmap\murax.system_uartCtrl.$procmux$884_Y
  connect $techmap\murax.system_uartCtrl.$procmux$891_CMP \murax.system_uartCtrl.busCtrl_doWrite
  connect $techmap\murax.system_uartCtrl.$2\_zz_1[0:0] $techmap\murax.system_uartCtrl.$procmux$892_Y
  connect $techmap\murax.system_uartCtrl.$procmux$898_CMP \murax.system_uartCtrl.busCtrl_doRead
  connect $techmap\murax.system_uartCtrl.$2\_zz_4[0:0] $techmap\murax.system_uartCtrl.$procmux$899_Y
  connect $techmap\murax.system_uartCtrl.$1\io_apb_PRDATA[9:0] [1] $techmap\murax.system_uartCtrl.$procmux$902_Y
  connect $techmap\murax.system_uartCtrl.$1\_zz_4[0:0] $techmap\murax.system_uartCtrl.$procmux$907_Y
  connect $techmap\murax.system_uartCtrl.$1\_zz_1[0:0] $techmap\murax.system_uartCtrl.$procmux$911_Y
  connect $techmap\murax.system_uartCtrl.$3\io_apb_PRDATA[28:24] $techmap\murax.system_uartCtrl.$procmux$914_Y
  connect $techmap\murax.system_uartCtrl.$1\io_apb_PRDATA[9:0] [9] $techmap\murax.system_uartCtrl.$procmux$917_Y
  connect $techmap\murax.system_uartCtrl.$1\io_apb_PRDATA[9:0] [0] $techmap\murax.system_uartCtrl.$procmux$920_Y
  connect $techmap\murax.system_uartCtrl.$0\io_apb_PRDATA[31:0] [28:24] $techmap\murax.system_uartCtrl.$3\io_apb_PRDATA[28:24]
  connect $techmap\murax.system_uartCtrl.$0\io_apb_PRDATA[31:0] [20:16] $techmap\murax.system_uartCtrl.$2\io_apb_PRDATA[20:16]
  connect $techmap\murax.system_uartCtrl.$0\io_apb_PRDATA[31:0] [9:0] $techmap\murax.system_uartCtrl.$1\io_apb_PRDATA[9:0]
  connect $techmap\murax.system_uartCtrl.$0\_zz_1[0:0] $techmap\murax.system_uartCtrl.$1\_zz_1[0:0]
  connect $techmap\murax.system_uartCtrl.$0\_zz_4[0:0] $techmap\murax.system_uartCtrl.$1\_zz_4[0:0]
  connect { $techmap\murax.system_uartCtrl.$0\io_apb_PRDATA[31:0] [31:29] $techmap\murax.system_uartCtrl.$0\io_apb_PRDATA[31:0] [23:21] $techmap\murax.system_uartCtrl.$0\io_apb_PRDATA[31:0] [15:10] } 12'000000000000
  connect $techmap\murax.system_uartCtrl.$0\bridge_uartConfigReg_clockDivider[19:0] \murax.system_uartCtrl._zz_24
  connect $techmap\murax.system_uartCtrl.$procmux$924_CMP \murax.system_uartCtrl.busCtrl_doWrite
  connect $techmap\murax.system_uartCtrl.$0\bridge_interruptCtrl_readIntEnable[0:0] $techmap\murax.system_uartCtrl.$procmux$925_Y
  connect $techmap\murax.system_uartCtrl.$procmux$928_CMP \murax.system_uartCtrl.busCtrl_doWrite
  connect $techmap\murax.system_uartCtrl.$0\bridge_interruptCtrl_writeIntEnable[0:0] $techmap\murax.system_uartCtrl.$procmux$929_Y
  connect $techmap\murax.system_uartCtrl.$func$\zz_bridge_uartConfigReg_clockDivider$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3637$742$\zz_bridge_uartConfigReg_clockDivider 20'00000000000000010011
  connect $techmap\murax.system_uartCtrl.$func$\zz_bridge_uartConfigReg_clockDivider$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3637$743$\zz_bridge_uartConfigReg_clockDivider 20'xxxxxxxxxxxxxxxxxxxx
  connect $techmap\murax.system_uartCtrl.$func$\zz_bridge_uartConfigReg_clockDivider$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3637$743$\dummy 1'x
  connect \murax.system_uartCtrl._zz_4 $techmap\murax.system_uartCtrl.$procmux$907_Y
  connect \murax.system_uartCtrl._zz_1 $techmap\murax.system_uartCtrl.$procmux$911_Y
  connect \murax.system_uartCtrl.io_apb_PRDATA { 3'000 $techmap\murax.system_uartCtrl.$procmux$914_Y 3'000 $techmap\murax.system_uartCtrl.$procmux$874_Y $techmap\murax.system_uartCtrl.$procmux$884_Y 6'000000 $techmap\murax.system_uartCtrl.$procmux$917_Y $techmap\murax.system_uartCtrl.$procmux$881_Y $techmap\murax.system_uartCtrl.$procmux$878_Y $techmap\murax.system_uartCtrl.$procmux$902_Y $techmap\murax.system_uartCtrl.$procmux$920_Y }
  connect \murax.system_uartCtrl.bridge_uartConfigReg_clockDivider $techmap\murax.system_uartCtrl.$func$\zz_bridge_uartConfigReg_clockDivider$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3637$742$\zz_bridge_uartConfigReg_clockDivider
  connect \murax.apb3Router_1.io_input_PADDR \murax._zz_84
  connect \murax.apb3Router_1.io_input_PENABLE \murax._zz_86
  connect \murax._zz_90 \murax.apb3Router_1.io_input_PRDATA
  connect \murax._zz_89 \murax.apb3Router_1.io_input_PREADY
  connect \murax.apb3Router_1.io_input_PSEL \murax._zz_85
  connect \murax._zz_91 \murax.apb3Router_1.io_input_PSLVERROR
  connect \murax.apb3Router_1.io_input_PWDATA \murax._zz_88
  connect \murax.apb3Router_1.io_input_PWRITE \murax._zz_87
  connect \murax.apb3Router_1.io_mainClk \murax.io_mainClk
  connect \murax._zz_92 \murax.apb3Router_1.io_outputs_0_PADDR
  connect \murax._zz_94 \murax.apb3Router_1.io_outputs_0_PENABLE
  connect \murax.apb3Router_1.io_outputs_0_PRDATA \murax._zz_69
  connect \murax.apb3Router_1.io_outputs_0_PREADY \murax._zz_68
  connect \murax._zz_93 \murax.apb3Router_1.io_outputs_0_PSEL
  connect \murax.apb3Router_1.io_outputs_0_PSLVERROR \murax._zz_70
  connect \murax._zz_96 \murax.apb3Router_1.io_outputs_0_PWDATA
  connect \murax._zz_95 \murax.apb3Router_1.io_outputs_0_PWRITE
  connect \murax._zz_97 \murax.apb3Router_1.io_outputs_1_PADDR
  connect \murax._zz_99 \murax.apb3Router_1.io_outputs_1_PENABLE
  connect \murax.apb3Router_1.io_outputs_1_PRDATA \murax._zz_74
  connect \murax.apb3Router_1.io_outputs_1_PREADY \murax._zz_73
  connect \murax._zz_98 \murax.apb3Router_1.io_outputs_1_PSEL
  connect \murax.apb3Router_1.io_outputs_1_PSLVERROR \murax._zz_17
  connect \murax._zz_101 \murax.apb3Router_1.io_outputs_1_PWDATA
  connect \murax._zz_100 \murax.apb3Router_1.io_outputs_1_PWRITE
  connect \murax._zz_102 \murax.apb3Router_1.io_outputs_2_PADDR
  connect \murax._zz_104 \murax.apb3Router_1.io_outputs_2_PENABLE
  connect \murax.apb3Router_1.io_outputs_2_PRDATA \murax._zz_78
  connect \murax.apb3Router_1.io_outputs_2_PREADY \murax._zz_77
  connect \murax._zz_103 \murax.apb3Router_1.io_outputs_2_PSEL
  connect \murax.apb3Router_1.io_outputs_2_PSLVERROR \murax._zz_79
  connect \murax._zz_106 \murax.apb3Router_1.io_outputs_2_PWDATA
  connect \murax._zz_105 \murax.apb3Router_1.io_outputs_2_PWRITE
  connect \murax.apb3Router_1.resetCtrl_systemReset \murax.resetCtrl_systemReset
  connect \murax.apb3Router_1.io_outputs_0_PADDR \murax.apb3Router_1.io_input_PADDR
  connect \murax.apb3Router_1.io_outputs_0_PENABLE \murax.apb3Router_1.io_input_PENABLE
  connect \murax.apb3Router_1.io_outputs_0_PSEL \murax.apb3Router_1.io_input_PSEL [0]
  connect \murax.apb3Router_1.io_outputs_0_PWRITE \murax.apb3Router_1.io_input_PWRITE
  connect \murax.apb3Router_1.io_outputs_0_PWDATA \murax.apb3Router_1.io_input_PWDATA
  connect \murax.apb3Router_1.io_outputs_1_PADDR \murax.apb3Router_1.io_input_PADDR
  connect \murax.apb3Router_1.io_outputs_1_PENABLE \murax.apb3Router_1.io_input_PENABLE
  connect \murax.apb3Router_1.io_outputs_1_PSEL \murax.apb3Router_1.io_input_PSEL [1]
  connect \murax.apb3Router_1.io_outputs_1_PWRITE \murax.apb3Router_1.io_input_PWRITE
  connect \murax.apb3Router_1.io_outputs_1_PWDATA \murax.apb3Router_1.io_input_PWDATA
  connect \murax.apb3Router_1.io_outputs_2_PADDR \murax.apb3Router_1.io_input_PADDR
  connect \murax.apb3Router_1.io_outputs_2_PENABLE \murax.apb3Router_1.io_input_PENABLE
  connect \murax.apb3Router_1.io_outputs_2_PSEL \murax.apb3Router_1.io_input_PSEL [2]
  connect \murax.apb3Router_1.io_outputs_2_PWRITE \murax.apb3Router_1.io_input_PWRITE
  connect \murax.apb3Router_1.io_outputs_2_PWDATA \murax.apb3Router_1.io_input_PWDATA
  connect \murax.apb3Router_1._zz_1 \murax.apb3Router_1.io_input_PSEL [1]
  connect \murax.apb3Router_1._zz_2 \murax.apb3Router_1.io_input_PSEL [2]
  connect \murax.apb3Router_1.io_input_PREADY \murax.apb3Router_1._zz_3
  connect \murax.apb3Router_1.io_input_PRDATA \murax.apb3Router_1._zz_4
  connect \murax.apb3Router_1.io_input_PSLVERROR \murax.apb3Router_1._zz_5
  connect $techmap\murax.apb3Router_1.$1\_zz_5[0:0] $techmap\murax.apb3Router_1.$procmux$862_Y
  connect $techmap\murax.apb3Router_1.$1\_zz_4[31:0] $techmap\murax.apb3Router_1.$procmux$866_Y
  connect $techmap\murax.apb3Router_1.$1\_zz_3[0:0] $techmap\murax.apb3Router_1.$procmux$870_Y
  connect $techmap\murax.apb3Router_1.$0\_zz_5[0:0] $techmap\murax.apb3Router_1.$1\_zz_5[0:0]
  connect $techmap\murax.apb3Router_1.$0\_zz_4[31:0] $techmap\murax.apb3Router_1.$1\_zz_4[31:0]
  connect $techmap\murax.apb3Router_1.$0\_zz_3[0:0] $techmap\murax.apb3Router_1.$1\_zz_3[0:0]
  connect $techmap\murax.apb3Router_1.$0\selIndex[1:0] { \murax.apb3Router_1._zz_2 \murax.apb3Router_1._zz_1 }
  connect \murax.apb3Router_1._zz_3 $techmap\murax.apb3Router_1.$procmux$870_Y
  connect \murax.apb3Router_1._zz_4 $techmap\murax.apb3Router_1.$procmux$866_Y
  connect \murax.apb3Router_1._zz_5 $techmap\murax.apb3Router_1.$procmux$862_Y
  connect \murax.bufferCC_3.io_dataIn \murax.io_asyncReset
  connect \murax._zz_19 \murax.bufferCC_3.io_dataOut
  connect \murax.bufferCC_3.io_mainClk \murax.io_mainClk
  connect \murax.bufferCC_3.io_dataOut \murax.bufferCC_3.buffers_1
  connect $techmap\murax.bufferCC_3.$0\buffers_1[0:0] \murax.bufferCC_3.buffers_0
  connect $techmap\murax.bufferCC_3.$0\buffers_0[0:0] \murax.bufferCC_3.io_dataIn
  connect \murax.system_ram.io_bus_cmd_payload_address \murax.system_mainBusDecoder_logic_masterPipelined_cmd_payload_address
  connect \murax.system_ram.io_bus_cmd_payload_data \murax.system_mainBusDecoder_logic_masterPipelined_cmd_payload_data
  connect \murax.system_ram.io_bus_cmd_payload_mask \murax.system_mainBusDecoder_logic_masterPipelined_cmd_payload_mask
  connect \murax.system_ram.io_bus_cmd_payload_wr \murax.system_mainBusDecoder_logic_masterPipelined_cmd_payload_wr
  connect \murax._zz_57 \murax.system_ram.io_bus_cmd_ready
  connect \murax.system_ram.io_bus_cmd_valid \murax._zz_12
  connect \murax._zz_59 \murax.system_ram.io_bus_rsp_payload_data
  connect \murax._zz_58 \murax.system_ram.io_bus_rsp_valid
  connect \murax.system_ram.io_mainClk \murax.io_mainClk
  connect \murax.system_ram.resetCtrl_systemReset \murax.resetCtrl_systemReset
  connect \murax.system_ram.io_bus_cmd_ready \murax.system_ram._zz_5
  connect \murax.system_ram._zz_6 \murax.system_ram._zz_2 [9:0]
  connect \murax.system_ram.io_bus_rsp_valid \murax.system_ram._zz_1
  connect \murax.system_ram._zz_2 $techmap\murax.system_ram.$sshr$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3296$704_Y [29:0]
  connect \murax.system_ram._zz_3 \murax.system_ram.io_bus_cmd_payload_data
  connect \murax.system_ram.io_bus_rsp_payload_data \murax.system_ram._zz_4
  connect \murax.system_ram._zz_5 1'1
  connect $techmap\murax.system_ram.$0\_zz_4[31:0] { \murax.system_ram._zz_10 \murax.system_ram._zz_9 \murax.system_ram._zz_8 \murax.system_ram._zz_7 }
  connect $techmap\murax.system_ram.$procmux$1272_CMP $techmap\murax.system_ram.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3275$693_Y
  connect $techmap\murax.system_ram.$0$memwr$\ram_symbol0$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3276$674_EN[7:0]$682 $techmap\murax.system_ram.$procmux$1271_Y
  connect $techmap\murax.system_ram.$procmux$1274_CMP $techmap\murax.system_ram.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3275$693_Y
  connect $techmap\murax.system_ram.$0$memwr$\ram_symbol0$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3276$674_DATA[7:0]$681 $techmap\murax.system_ram.$procmux$1273_Y
  connect $techmap\murax.system_ram.$procmux$1276_CMP $techmap\murax.system_ram.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3275$693_Y
  connect $techmap\murax.system_ram.$0$memwr$\ram_symbol0$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3276$674_ADDR[9:0]$680 $techmap\murax.system_ram.$procmux$1275_Y
  connect $techmap\murax.system_ram.$procmux$1278_CMP $techmap\murax.system_ram.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3278$695_Y
  connect $techmap\murax.system_ram.$0$memwr$\ram_symbol1$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3279$675_EN[7:0]$685 $techmap\murax.system_ram.$procmux$1277_Y
  connect $techmap\murax.system_ram.$procmux$1280_CMP $techmap\murax.system_ram.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3278$695_Y
  connect $techmap\murax.system_ram.$0$memwr$\ram_symbol1$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3279$675_DATA[7:0]$684 $techmap\murax.system_ram.$procmux$1279_Y
  connect $techmap\murax.system_ram.$procmux$1282_CMP $techmap\murax.system_ram.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3278$695_Y
  connect $techmap\murax.system_ram.$0$memwr$\ram_symbol1$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3279$675_ADDR[9:0]$683 $techmap\murax.system_ram.$procmux$1281_Y
  connect $techmap\murax.system_ram.$procmux$1284_CMP $techmap\murax.system_ram.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3281$697_Y
  connect $techmap\murax.system_ram.$0$memwr$\ram_symbol2$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3282$676_EN[7:0]$688 $techmap\murax.system_ram.$procmux$1283_Y
  connect $techmap\murax.system_ram.$procmux$1286_CMP $techmap\murax.system_ram.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3281$697_Y
  connect $techmap\murax.system_ram.$0$memwr$\ram_symbol2$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3282$676_DATA[7:0]$687 $techmap\murax.system_ram.$procmux$1285_Y
  connect $techmap\murax.system_ram.$procmux$1288_CMP $techmap\murax.system_ram.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3281$697_Y
  connect $techmap\murax.system_ram.$0$memwr$\ram_symbol2$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3282$676_ADDR[9:0]$686 $techmap\murax.system_ram.$procmux$1287_Y
  connect $techmap\murax.system_ram.$procmux$1290_CMP $techmap\murax.system_ram.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3284$699_Y
  connect $techmap\murax.system_ram.$0$memwr$\ram_symbol3$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3285$677_EN[7:0]$691 $techmap\murax.system_ram.$procmux$1289_Y
  connect $techmap\murax.system_ram.$procmux$1292_CMP $techmap\murax.system_ram.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3284$699_Y
  connect $techmap\murax.system_ram.$0$memwr$\ram_symbol3$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3285$677_DATA[7:0]$690 $techmap\murax.system_ram.$procmux$1291_Y
  connect $techmap\murax.system_ram.$procmux$1294_CMP $techmap\murax.system_ram.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3284$699_Y
  connect $techmap\murax.system_ram.$0$memwr$\ram_symbol3$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3285$677_ADDR[9:0]$689 $techmap\murax.system_ram.$procmux$1293_Y
  connect $techmap\murax.system_ram.$procmux$1296_CMP \murax.system_ram.io_bus_cmd_valid
  connect $techmap\murax.system_ram.$0\_zz_10[7:0] $techmap\murax.system_ram.$procmux$1295_Y
  connect $techmap\murax.system_ram.$procmux$1298_CMP \murax.system_ram.io_bus_cmd_valid
  connect $techmap\murax.system_ram.$0\_zz_9[7:0] $techmap\murax.system_ram.$procmux$1297_Y
  connect $techmap\murax.system_ram.$procmux$1300_CMP \murax.system_ram.io_bus_cmd_valid
  connect $techmap\murax.system_ram.$0\_zz_8[7:0] $techmap\murax.system_ram.$procmux$1299_Y
  connect $techmap\murax.system_ram.$procmux$1302_CMP \murax.system_ram.io_bus_cmd_valid
  connect $techmap\murax.system_ram.$0\_zz_7[7:0] $techmap\murax.system_ram.$procmux$1301_Y
  connect $techmap\murax.system_ram.$0\_zz_1[0:0] $techmap\murax.system_ram.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:3304$708_Y
  connect \murax.system_ram._zz_4 { \murax.system_ram._zz_10 \murax.system_ram._zz_9 \murax.system_ram._zz_8 \murax.system_ram._zz_7 }
  connect \murax.jtagBridge_1.flowCCByToggle_1.io_input_payload_fragment \murax.jtagBridge_1.jtag_writeArea_source_payload_fragment
  connect \murax.jtagBridge_1.flowCCByToggle_1.io_input_payload_last \murax.jtagBridge_1.jtag_writeArea_source_payload_last
  connect \murax.jtagBridge_1.flowCCByToggle_1.io_input_valid \murax.jtagBridge_1.jtag_writeArea_source_valid
  connect \murax.jtagBridge_1.flowCCByToggle_1.io_jtag_tck \murax.jtagBridge_1.io_jtag_tck
  connect \murax.jtagBridge_1.flowCCByToggle_1.io_mainClk \murax.jtagBridge_1.io_mainClk
  connect \murax.jtagBridge_1._zz_6 \murax.jtagBridge_1.flowCCByToggle_1.io_output_payload_fragment
  connect \murax.jtagBridge_1._zz_5 \murax.jtagBridge_1.flowCCByToggle_1.io_output_payload_last
  connect \murax.jtagBridge_1._zz_4 \murax.jtagBridge_1.flowCCByToggle_1.io_output_valid
  connect \murax.jtagBridge_1.flowCCByToggle_1.resetCtrl_mainClkReset \murax.jtagBridge_1.resetCtrl_mainClkReset
  connect \murax.jtagBridge_1.flowCCByToggle_1.outputArea_target \murax.jtagBridge_1.flowCCByToggle_1._zz_4
  connect \murax.jtagBridge_1.flowCCByToggle_1.outputArea_flow_valid $techmap\murax.jtagBridge_1.flowCCByToggle_1.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:484$49_Y
  connect \murax.jtagBridge_1.flowCCByToggle_1.outputArea_flow_payload_last \murax.jtagBridge_1.flowCCByToggle_1.inputArea_data_last
  connect \murax.jtagBridge_1.flowCCByToggle_1.outputArea_flow_payload_fragment \murax.jtagBridge_1.flowCCByToggle_1.inputArea_data_fragment
  connect \murax.jtagBridge_1.flowCCByToggle_1.io_output_valid \murax.jtagBridge_1.flowCCByToggle_1._zz_1
  connect \murax.jtagBridge_1.flowCCByToggle_1.io_output_payload_last \murax.jtagBridge_1.flowCCByToggle_1._zz_2
  connect \murax.jtagBridge_1.flowCCByToggle_1.io_output_payload_fragment \murax.jtagBridge_1.flowCCByToggle_1._zz_3
  connect $techmap\murax.jtagBridge_1.flowCCByToggle_1.$1\inputArea_target[0:0] 1'1
  connect $techmap\murax.jtagBridge_1.flowCCByToggle_1.$procmux$932_CMP \murax.jtagBridge_1.flowCCByToggle_1.io_input_valid
  connect $techmap\murax.jtagBridge_1.flowCCByToggle_1.$0\inputArea_data_fragment[0:0] $techmap\murax.jtagBridge_1.flowCCByToggle_1.$procmux$931_Y
  connect $techmap\murax.jtagBridge_1.flowCCByToggle_1.$procmux$934_CMP \murax.jtagBridge_1.flowCCByToggle_1.io_input_valid
  connect $techmap\murax.jtagBridge_1.flowCCByToggle_1.$0\inputArea_data_last[0:0] $techmap\murax.jtagBridge_1.flowCCByToggle_1.$procmux$933_Y
  connect $techmap\murax.jtagBridge_1.flowCCByToggle_1.$procmux$936_CMP \murax.jtagBridge_1.flowCCByToggle_1.io_input_valid
  connect $techmap\murax.jtagBridge_1.flowCCByToggle_1.$0\inputArea_target[0:0] $techmap\murax.jtagBridge_1.flowCCByToggle_1.$procmux$935_Y
  connect $techmap\murax.jtagBridge_1.flowCCByToggle_1.$0\_zz_3[0:0] \murax.jtagBridge_1.flowCCByToggle_1.outputArea_flow_payload_fragment
  connect $techmap\murax.jtagBridge_1.flowCCByToggle_1.$0\_zz_2[0:0] \murax.jtagBridge_1.flowCCByToggle_1.outputArea_flow_payload_last
  connect $techmap\murax.jtagBridge_1.flowCCByToggle_1.$0\outputArea_hit[0:0] \murax.jtagBridge_1.flowCCByToggle_1.outputArea_target
  connect $techmap\murax.jtagBridge_1.flowCCByToggle_1.$0\_zz_1[0:0] \murax.jtagBridge_1.flowCCByToggle_1.outputArea_flow_valid
  connect \murax.system_timer.timerA.io_clear \murax.system_timer._zz_11
  connect \murax.system_timer._zz_18 \murax.system_timer.timerA.io_full
  connect \murax.system_timer.timerA.io_limit \murax.system_timer._zz_3
  connect \murax.system_timer.timerA.io_mainClk \murax.system_timer.io_mainClk
  connect \murax.system_timer.timerA.io_tick \murax.system_timer._zz_10
  connect \murax.system_timer._zz_19 \murax.system_timer.timerA.io_value
  connect \murax.system_timer.timerA.resetCtrl_systemReset \murax.system_timer.resetCtrl_systemReset
  connect \murax.system_timer.timerA._zz_1 $techmap\murax.system_timer.timerA.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:748$101_Y
  connect \murax.system_timer.timerA._zz_2 { 15'000000000000000 \murax.system_timer.timerA._zz_1 }
  connect \murax.system_timer.timerA.limitHit $techmap\murax.system_timer.timerA.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:750$102_Y
  connect \murax.system_timer.timerA.io_full $techmap\murax.system_timer.timerA.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:751$105_Y
  connect \murax.system_timer.timerA.io_value \murax.system_timer.timerA.counter
  connect $techmap\murax.system_timer.timerA.$procmux$1408_CMP \murax.system_timer.timerA.io_tick
  connect $techmap\murax.system_timer.timerA.$procmux$1410_CMP \murax.system_timer.timerA.io_clear
  connect $techmap\murax.system_timer.timerA.$0\inhibitFull[0:0] $techmap\murax.system_timer.timerA.$procmux$1409_Y
  connect $techmap\murax.system_timer.timerA.$procmux$1412_CMP \murax.system_timer.timerA.io_tick
  connect $techmap\murax.system_timer.timerA.$procmux$1414_CMP \murax.system_timer.timerA.io_clear
  connect $techmap\murax.system_timer.timerA.$0\counter[15:0] $techmap\murax.system_timer.timerA.$procmux$1413_Y
  connect \murax.system_timer.timerB.io_clear \murax.system_timer._zz_13
  connect \murax.system_timer._zz_20 \murax.system_timer.timerB.io_full
  connect \murax.system_timer.timerB.io_limit \murax.system_timer._zz_6
  connect \murax.system_timer.timerB.io_mainClk \murax.system_timer.io_mainClk
  connect \murax.system_timer.timerB.io_tick \murax.system_timer._zz_12
  connect \murax.system_timer._zz_21 \murax.system_timer.timerB.io_value
  connect \murax.system_timer.timerB.resetCtrl_systemReset \murax.system_timer.resetCtrl_systemReset
  connect \murax.system_timer.timerB._zz_1 $techmap\murax.system_timer.timerB.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:748$101_Y
  connect \murax.system_timer.timerB._zz_2 { 15'000000000000000 \murax.system_timer.timerB._zz_1 }
  connect \murax.system_timer.timerB.limitHit $techmap\murax.system_timer.timerB.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:750$102_Y
  connect \murax.system_timer.timerB.io_full $techmap\murax.system_timer.timerB.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:751$105_Y
  connect \murax.system_timer.timerB.io_value \murax.system_timer.timerB.counter
  connect $techmap\murax.system_timer.timerB.$procmux$1408_CMP \murax.system_timer.timerB.io_tick
  connect $techmap\murax.system_timer.timerB.$procmux$1410_CMP \murax.system_timer.timerB.io_clear
  connect $techmap\murax.system_timer.timerB.$0\inhibitFull[0:0] $techmap\murax.system_timer.timerB.$procmux$1409_Y
  connect $techmap\murax.system_timer.timerB.$procmux$1412_CMP \murax.system_timer.timerB.io_tick
  connect $techmap\murax.system_timer.timerB.$procmux$1414_CMP \murax.system_timer.timerB.io_clear
  connect $techmap\murax.system_timer.timerB.$0\counter[15:0] $techmap\murax.system_timer.timerB.$procmux$1413_Y
  connect \murax.system_timer.interruptCtrl_1.io_clears \murax.system_timer._zz_15
  connect \murax.system_timer.interruptCtrl_1.io_inputs \murax.system_timer._zz_14
  connect \murax.system_timer.interruptCtrl_1.io_mainClk \murax.system_timer.io_mainClk
  connect \murax.system_timer.interruptCtrl_1.io_masks \murax.system_timer._zz_9
  connect \murax.system_timer._zz_22 \murax.system_timer.interruptCtrl_1.io_pendings
  connect \murax.system_timer.interruptCtrl_1.resetCtrl_systemReset \murax.system_timer.resetCtrl_systemReset
  connect \murax.system_timer.interruptCtrl_1.io_pendings $techmap\murax.system_timer.interruptCtrl_1.$and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:788$109_Y
  connect $techmap\murax.system_timer.interruptCtrl_1.$0\pendings[1:0] $techmap\murax.system_timer.interruptCtrl_1.$or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:793$113_Y
  connect \murax.system_timer.prescaler_1.io_clear \murax.system_timer._zz_2
  connect \murax.system_timer.prescaler_1.io_limit \murax.system_timer._zz_1
  connect \murax.system_timer.prescaler_1.io_mainClk \murax.system_timer.io_mainClk
  connect \murax.system_timer._zz_17 \murax.system_timer.prescaler_1.io_overflow
  connect \murax.system_timer.prescaler_1.resetCtrl_systemReset \murax.system_timer.resetCtrl_systemReset
  connect \murax.system_timer.prescaler_1.io_overflow \murax.system_timer.prescaler_1._zz_1
  connect \murax.system_timer.prescaler_1._zz_1 $techmap\murax.system_timer.prescaler_1.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:725$97_Y
  connect $techmap\murax.system_timer.prescaler_1.$procmux$1341_CMP $techmap\murax.system_timer.prescaler_1.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:728$100_Y
  connect $techmap\murax.system_timer.prescaler_1.$0\counter[15:0] $techmap\murax.system_timer.prescaler_1.$procmux$1340_Y
  connect \murax.system_uartCtrl.uartCtrl_1.io_config_clockDivider \murax.system_uartCtrl.bridge_uartConfigReg_clockDivider
  connect \murax.system_uartCtrl.uartCtrl_1.io_config_frame_dataLength \murax.system_uartCtrl.bridge_uartConfigReg_frame_dataLength
  connect \murax.system_uartCtrl.uartCtrl_1.io_config_frame_parity \murax.system_uartCtrl.bridge_uartConfigReg_frame_parity
  connect \murax.system_uartCtrl.uartCtrl_1.io_config_frame_stop \murax.system_uartCtrl.bridge_uartConfigReg_frame_stop
  connect \murax.system_uartCtrl.uartCtrl_1.io_mainClk \murax.system_uartCtrl.io_mainClk
  connect \murax.system_uartCtrl._zz_9 \murax.system_uartCtrl.uartCtrl_1.io_read_payload
  connect \murax.system_uartCtrl._zz_8 \murax.system_uartCtrl.uartCtrl_1.io_read_valid
  connect \murax.system_uartCtrl.uartCtrl_1.io_uart_rxd \murax.system_uartCtrl.io_uart_rxd
  connect \murax.system_uartCtrl._zz_10 \murax.system_uartCtrl.uartCtrl_1.io_uart_txd
  connect \murax.system_uartCtrl.uartCtrl_1.io_write_payload \murax.system_uartCtrl._zz_13
  connect \murax.system_uartCtrl._zz_7 \murax.system_uartCtrl.uartCtrl_1.io_write_ready
  connect \murax.system_uartCtrl.uartCtrl_1.io_write_valid \murax.system_uartCtrl._zz_12
  connect \murax.system_uartCtrl.uartCtrl_1.resetCtrl_systemReset \murax.system_uartCtrl.resetCtrl_systemReset
  connect \murax.system_uartCtrl.uartCtrl_1.clockDivider_tick $techmap\murax.system_uartCtrl.uartCtrl_1.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:557$55_Y
  connect \murax.system_uartCtrl.uartCtrl_1.io_write_ready \murax.system_uartCtrl.uartCtrl_1._zz_1
  connect \murax.system_uartCtrl.uartCtrl_1.io_read_valid \murax.system_uartCtrl.uartCtrl_1._zz_3
  connect \murax.system_uartCtrl.uartCtrl_1.io_read_payload \murax.system_uartCtrl.uartCtrl_1._zz_4
  connect \murax.system_uartCtrl.uartCtrl_1.io_uart_txd \murax.system_uartCtrl.uartCtrl_1._zz_2
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.$procmux$1416_CMP \murax.system_uartCtrl.uartCtrl_1.clockDivider_tick
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.$0\clockDivider_counter[19:0] $techmap\murax.system_uartCtrl.uartCtrl_1.$procmux$1415_Y
  connect \murax.system_uartCtrl._zz_15 \murax.system_uartCtrl.streamFifo_2.io_availability
  connect \murax.system_uartCtrl.streamFifo_2.io_flush \murax.system_uartCtrl._zz_3
  connect \murax.system_uartCtrl.streamFifo_2.io_mainClk \murax.system_uartCtrl.io_mainClk
  connect \murax.system_uartCtrl._zz_14 \murax.system_uartCtrl.streamFifo_2.io_occupancy
  connect \murax.system_uartCtrl._zz_13 \murax.system_uartCtrl.streamFifo_2.io_pop_payload
  connect \murax.system_uartCtrl.streamFifo_2.io_pop_ready \murax.system_uartCtrl._zz_7
  connect \murax.system_uartCtrl._zz_12 \murax.system_uartCtrl.streamFifo_2.io_pop_valid
  connect \murax.system_uartCtrl.streamFifo_2.io_push_payload \murax.system_uartCtrl.bridge_write_streamUnbuffered_payload
  connect \murax.system_uartCtrl._zz_11 \murax.system_uartCtrl.streamFifo_2.io_push_ready
  connect \murax.system_uartCtrl.streamFifo_2.io_push_valid \murax.system_uartCtrl.bridge_write_streamUnbuffered_valid
  connect \murax.system_uartCtrl.streamFifo_2.resetCtrl_systemReset \murax.system_uartCtrl.resetCtrl_systemReset
  connect \murax.system_uartCtrl.streamFifo_2.io_push_ready \murax.system_uartCtrl.streamFifo_2._zz_5
  connect \murax.system_uartCtrl.streamFifo_2.io_pop_valid \murax.system_uartCtrl.streamFifo_2._zz_6
  connect \murax.system_uartCtrl.streamFifo_2._zz_7 \murax.system_uartCtrl.streamFifo_2.pushPtr_willIncrement
  connect \murax.system_uartCtrl.streamFifo_2._zz_8 { 3'000 \murax.system_uartCtrl.streamFifo_2._zz_7 }
  connect \murax.system_uartCtrl.streamFifo_2._zz_9 \murax.system_uartCtrl.streamFifo_2.popPtr_willIncrement
  connect \murax.system_uartCtrl.streamFifo_2._zz_10 { 3'000 \murax.system_uartCtrl.streamFifo_2._zz_9 }
  connect \murax.system_uartCtrl.streamFifo_2._zz_11 $techmap\murax.system_uartCtrl.streamFifo_2.$sub$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:624$59_Y
  connect \murax.system_uartCtrl.streamFifo_2.pushPtr_willOverflowIfInc $techmap\murax.system_uartCtrl.streamFifo_2.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:655$68_Y
  connect \murax.system_uartCtrl.streamFifo_2.pushPtr_willOverflow $techmap\murax.system_uartCtrl.streamFifo_2.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:656$69_Y
  connect \murax.system_uartCtrl.streamFifo_2.popPtr_willOverflowIfInc $techmap\murax.system_uartCtrl.streamFifo_2.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:671$73_Y
  connect \murax.system_uartCtrl.streamFifo_2.popPtr_willOverflow $techmap\murax.system_uartCtrl.streamFifo_2.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:672$74_Y
  connect \murax.system_uartCtrl.streamFifo_2.ptrMatch $techmap\murax.system_uartCtrl.streamFifo_2.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:680$77_Y
  connect \murax.system_uartCtrl.streamFifo_2.pushing $techmap\murax.system_uartCtrl.streamFifo_2.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:681$78_Y
  connect \murax.system_uartCtrl.streamFifo_2.popping $techmap\murax.system_uartCtrl.streamFifo_2.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:682$79_Y
  connect \murax.system_uartCtrl.streamFifo_2.empty $techmap\murax.system_uartCtrl.streamFifo_2.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:683$81_Y
  connect \murax.system_uartCtrl.streamFifo_2.full $techmap\murax.system_uartCtrl.streamFifo_2.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:684$82_Y
  connect \murax.system_uartCtrl.streamFifo_2._zz_5 $techmap\murax.system_uartCtrl.streamFifo_2.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:685$83_Y
  connect \murax.system_uartCtrl.streamFifo_2._zz_6 $techmap\murax.system_uartCtrl.streamFifo_2.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:686$88_Y
  connect \murax.system_uartCtrl.streamFifo_2._zz_3 1'1
  connect \murax.system_uartCtrl.streamFifo_2.io_pop_payload \murax.system_uartCtrl.streamFifo_2._zz_4
  connect \murax.system_uartCtrl.streamFifo_2.ptrDif $techmap\murax.system_uartCtrl.streamFifo_2.$sub$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:689$89_Y
  connect \murax.system_uartCtrl.streamFifo_2.io_occupancy { $techmap\murax.system_uartCtrl.streamFifo_2.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:690$90_Y \murax.system_uartCtrl.streamFifo_2.ptrDif }
  connect \murax.system_uartCtrl.streamFifo_2.io_availability { $techmap\murax.system_uartCtrl.streamFifo_2.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:691$92_Y \murax.system_uartCtrl.streamFifo_2._zz_11 }
  connect $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1343_CMP \murax.system_uartCtrl.streamFifo_2._zz_1
  connect $techmap\murax.system_uartCtrl.streamFifo_2.$0$memwr$\ram$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:627$58_EN[7:0]$63 $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1342_Y
  connect $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1345_CMP \murax.system_uartCtrl.streamFifo_2._zz_1
  connect $techmap\murax.system_uartCtrl.streamFifo_2.$0$memwr$\ram$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:627$58_DATA[7:0]$62 $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1344_Y
  connect $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1347_CMP \murax.system_uartCtrl.streamFifo_2._zz_1
  connect $techmap\murax.system_uartCtrl.streamFifo_2.$0$memwr$\ram$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:627$58_ADDR[3:0]$61 $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1346_Y
  connect $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1349_CMP \murax.system_uartCtrl.streamFifo_2._zz_3
  connect $techmap\murax.system_uartCtrl.streamFifo_2.$0\_zz_4[7:0] $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1348_Y
  connect $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1352_CMP \murax.system_uartCtrl.streamFifo_2.pushing
  connect $techmap\murax.system_uartCtrl.streamFifo_2.$1\pushPtr_willIncrement[0:0] $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1351_Y
  connect $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1355_CMP \murax.system_uartCtrl.streamFifo_2.pushing
  connect $techmap\murax.system_uartCtrl.streamFifo_2.$1\_zz_1[0:0] $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1354_Y
  connect $techmap\murax.system_uartCtrl.streamFifo_2.$0\pushPtr_willIncrement[0:0] $techmap\murax.system_uartCtrl.streamFifo_2.$1\pushPtr_willIncrement[0:0]
  connect $techmap\murax.system_uartCtrl.streamFifo_2.$0\_zz_1[0:0] $techmap\murax.system_uartCtrl.streamFifo_2.$1\_zz_1[0:0]
  connect $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1358_CMP \murax.system_uartCtrl.streamFifo_2.io_flush
  connect $techmap\murax.system_uartCtrl.streamFifo_2.$1\popPtr_willClear[0:0] $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1357_Y
  connect $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1361_CMP \murax.system_uartCtrl.streamFifo_2.io_flush
  connect $techmap\murax.system_uartCtrl.streamFifo_2.$1\pushPtr_willClear[0:0] $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1360_Y
  connect $techmap\murax.system_uartCtrl.streamFifo_2.$0\popPtr_willClear[0:0] $techmap\murax.system_uartCtrl.streamFifo_2.$1\popPtr_willClear[0:0]
  connect $techmap\murax.system_uartCtrl.streamFifo_2.$0\pushPtr_willClear[0:0] $techmap\murax.system_uartCtrl.streamFifo_2.$1\pushPtr_willClear[0:0]
  connect $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1364_CMP \murax.system_uartCtrl.streamFifo_2.pushPtr_willClear
  connect $techmap\murax.system_uartCtrl.streamFifo_2.$1\pushPtr_valueNext[3:0] $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1363_Y
  connect $techmap\murax.system_uartCtrl.streamFifo_2.$0\pushPtr_valueNext[3:0] $techmap\murax.system_uartCtrl.streamFifo_2.$1\pushPtr_valueNext[3:0]
  connect $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1367_CMP \murax.system_uartCtrl.streamFifo_2.popping
  connect $techmap\murax.system_uartCtrl.streamFifo_2.$1\popPtr_willIncrement[0:0] $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1366_Y
  connect $techmap\murax.system_uartCtrl.streamFifo_2.$0\popPtr_willIncrement[0:0] $techmap\murax.system_uartCtrl.streamFifo_2.$1\popPtr_willIncrement[0:0]
  connect $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1370_CMP \murax.system_uartCtrl.streamFifo_2.popPtr_willClear
  connect $techmap\murax.system_uartCtrl.streamFifo_2.$1\popPtr_valueNext[3:0] $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1369_Y
  connect $techmap\murax.system_uartCtrl.streamFifo_2.$0\popPtr_valueNext[3:0] $techmap\murax.system_uartCtrl.streamFifo_2.$1\popPtr_valueNext[3:0]
  connect $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1372_CMP $techmap\murax.system_uartCtrl.streamFifo_2.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:702$95_Y
  connect $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1374_CMP \murax.system_uartCtrl.streamFifo_2.io_flush
  connect $techmap\murax.system_uartCtrl.streamFifo_2.$0\risingOccupancy[0:0] $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1373_Y
  connect $techmap\murax.system_uartCtrl.streamFifo_2.$0\popPtr_value[3:0] \murax.system_uartCtrl.streamFifo_2.popPtr_valueNext
  connect $techmap\murax.system_uartCtrl.streamFifo_2.$0\pushPtr_value[3:0] \murax.system_uartCtrl.streamFifo_2.pushPtr_valueNext
  connect $techmap\murax.system_uartCtrl.streamFifo_2.$0\_zz_2[0:0] $techmap\murax.system_uartCtrl.streamFifo_2.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:701$94_Y
  connect \murax.system_uartCtrl.streamFifo_2._zz_1 $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1354_Y
  connect \murax.system_uartCtrl.streamFifo_2.pushPtr_willIncrement $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1351_Y
  connect \murax.system_uartCtrl.streamFifo_2.pushPtr_willClear $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1360_Y
  connect \murax.system_uartCtrl.streamFifo_2.popPtr_willClear $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1357_Y
  connect \murax.system_uartCtrl.streamFifo_2.pushPtr_valueNext $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1363_Y
  connect \murax.system_uartCtrl.streamFifo_2.popPtr_willIncrement $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1366_Y
  connect \murax.system_uartCtrl.streamFifo_2.popPtr_valueNext $techmap\murax.system_uartCtrl.streamFifo_2.$procmux$1369_Y
  connect \murax.system_uartCtrl._zz_20 \murax.system_uartCtrl.streamFifo_3.io_availability
  connect \murax.system_uartCtrl.streamFifo_3.io_flush \murax.system_uartCtrl._zz_5
  connect \murax.system_uartCtrl.streamFifo_3.io_mainClk \murax.system_uartCtrl.io_mainClk
  connect \murax.system_uartCtrl._zz_19 \murax.system_uartCtrl.streamFifo_3.io_occupancy
  connect \murax.system_uartCtrl._zz_18 \murax.system_uartCtrl.streamFifo_3.io_pop_payload
  connect \murax.system_uartCtrl.streamFifo_3.io_pop_ready \murax.system_uartCtrl._zz_4
  connect \murax.system_uartCtrl._zz_17 \murax.system_uartCtrl.streamFifo_3.io_pop_valid
  connect \murax.system_uartCtrl.streamFifo_3.io_push_payload \murax.system_uartCtrl._zz_9
  connect \murax.system_uartCtrl._zz_16 \murax.system_uartCtrl.streamFifo_3.io_push_ready
  connect \murax.system_uartCtrl.streamFifo_3.io_push_valid \murax.system_uartCtrl._zz_8
  connect \murax.system_uartCtrl.streamFifo_3.resetCtrl_systemReset \murax.system_uartCtrl.resetCtrl_systemReset
  connect \murax.system_uartCtrl.streamFifo_3.io_push_ready \murax.system_uartCtrl.streamFifo_3._zz_5
  connect \murax.system_uartCtrl.streamFifo_3.io_pop_valid \murax.system_uartCtrl.streamFifo_3._zz_6
  connect \murax.system_uartCtrl.streamFifo_3._zz_7 \murax.system_uartCtrl.streamFifo_3.pushPtr_willIncrement
  connect \murax.system_uartCtrl.streamFifo_3._zz_8 { 3'000 \murax.system_uartCtrl.streamFifo_3._zz_7 }
  connect \murax.system_uartCtrl.streamFifo_3._zz_9 \murax.system_uartCtrl.streamFifo_3.popPtr_willIncrement
  connect \murax.system_uartCtrl.streamFifo_3._zz_10 { 3'000 \murax.system_uartCtrl.streamFifo_3._zz_9 }
  connect \murax.system_uartCtrl.streamFifo_3._zz_11 $techmap\murax.system_uartCtrl.streamFifo_3.$sub$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:624$59_Y
  connect \murax.system_uartCtrl.streamFifo_3.pushPtr_willOverflowIfInc $techmap\murax.system_uartCtrl.streamFifo_3.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:655$68_Y
  connect \murax.system_uartCtrl.streamFifo_3.pushPtr_willOverflow $techmap\murax.system_uartCtrl.streamFifo_3.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:656$69_Y
  connect \murax.system_uartCtrl.streamFifo_3.popPtr_willOverflowIfInc $techmap\murax.system_uartCtrl.streamFifo_3.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:671$73_Y
  connect \murax.system_uartCtrl.streamFifo_3.popPtr_willOverflow $techmap\murax.system_uartCtrl.streamFifo_3.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:672$74_Y
  connect \murax.system_uartCtrl.streamFifo_3.ptrMatch $techmap\murax.system_uartCtrl.streamFifo_3.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:680$77_Y
  connect \murax.system_uartCtrl.streamFifo_3.pushing $techmap\murax.system_uartCtrl.streamFifo_3.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:681$78_Y
  connect \murax.system_uartCtrl.streamFifo_3.popping $techmap\murax.system_uartCtrl.streamFifo_3.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:682$79_Y
  connect \murax.system_uartCtrl.streamFifo_3.empty $techmap\murax.system_uartCtrl.streamFifo_3.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:683$81_Y
  connect \murax.system_uartCtrl.streamFifo_3.full $techmap\murax.system_uartCtrl.streamFifo_3.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:684$82_Y
  connect \murax.system_uartCtrl.streamFifo_3._zz_5 $techmap\murax.system_uartCtrl.streamFifo_3.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:685$83_Y
  connect \murax.system_uartCtrl.streamFifo_3._zz_6 $techmap\murax.system_uartCtrl.streamFifo_3.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:686$88_Y
  connect \murax.system_uartCtrl.streamFifo_3._zz_3 1'1
  connect \murax.system_uartCtrl.streamFifo_3.io_pop_payload \murax.system_uartCtrl.streamFifo_3._zz_4
  connect \murax.system_uartCtrl.streamFifo_3.ptrDif $techmap\murax.system_uartCtrl.streamFifo_3.$sub$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:689$89_Y
  connect \murax.system_uartCtrl.streamFifo_3.io_occupancy { $techmap\murax.system_uartCtrl.streamFifo_3.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:690$90_Y \murax.system_uartCtrl.streamFifo_3.ptrDif }
  connect \murax.system_uartCtrl.streamFifo_3.io_availability { $techmap\murax.system_uartCtrl.streamFifo_3.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:691$92_Y \murax.system_uartCtrl.streamFifo_3._zz_11 }
  connect $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1343_CMP \murax.system_uartCtrl.streamFifo_3._zz_1
  connect $techmap\murax.system_uartCtrl.streamFifo_3.$0$memwr$\ram$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:627$58_EN[7:0]$63 $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1342_Y
  connect $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1345_CMP \murax.system_uartCtrl.streamFifo_3._zz_1
  connect $techmap\murax.system_uartCtrl.streamFifo_3.$0$memwr$\ram$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:627$58_DATA[7:0]$62 $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1344_Y
  connect $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1347_CMP \murax.system_uartCtrl.streamFifo_3._zz_1
  connect $techmap\murax.system_uartCtrl.streamFifo_3.$0$memwr$\ram$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:627$58_ADDR[3:0]$61 $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1346_Y
  connect $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1349_CMP \murax.system_uartCtrl.streamFifo_3._zz_3
  connect $techmap\murax.system_uartCtrl.streamFifo_3.$0\_zz_4[7:0] $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1348_Y
  connect $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1352_CMP \murax.system_uartCtrl.streamFifo_3.pushing
  connect $techmap\murax.system_uartCtrl.streamFifo_3.$1\pushPtr_willIncrement[0:0] $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1351_Y
  connect $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1355_CMP \murax.system_uartCtrl.streamFifo_3.pushing
  connect $techmap\murax.system_uartCtrl.streamFifo_3.$1\_zz_1[0:0] $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1354_Y
  connect $techmap\murax.system_uartCtrl.streamFifo_3.$0\pushPtr_willIncrement[0:0] $techmap\murax.system_uartCtrl.streamFifo_3.$1\pushPtr_willIncrement[0:0]
  connect $techmap\murax.system_uartCtrl.streamFifo_3.$0\_zz_1[0:0] $techmap\murax.system_uartCtrl.streamFifo_3.$1\_zz_1[0:0]
  connect $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1358_CMP \murax.system_uartCtrl.streamFifo_3.io_flush
  connect $techmap\murax.system_uartCtrl.streamFifo_3.$1\popPtr_willClear[0:0] $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1357_Y
  connect $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1361_CMP \murax.system_uartCtrl.streamFifo_3.io_flush
  connect $techmap\murax.system_uartCtrl.streamFifo_3.$1\pushPtr_willClear[0:0] $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1360_Y
  connect $techmap\murax.system_uartCtrl.streamFifo_3.$0\popPtr_willClear[0:0] $techmap\murax.system_uartCtrl.streamFifo_3.$1\popPtr_willClear[0:0]
  connect $techmap\murax.system_uartCtrl.streamFifo_3.$0\pushPtr_willClear[0:0] $techmap\murax.system_uartCtrl.streamFifo_3.$1\pushPtr_willClear[0:0]
  connect $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1364_CMP \murax.system_uartCtrl.streamFifo_3.pushPtr_willClear
  connect $techmap\murax.system_uartCtrl.streamFifo_3.$1\pushPtr_valueNext[3:0] $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1363_Y
  connect $techmap\murax.system_uartCtrl.streamFifo_3.$0\pushPtr_valueNext[3:0] $techmap\murax.system_uartCtrl.streamFifo_3.$1\pushPtr_valueNext[3:0]
  connect $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1367_CMP \murax.system_uartCtrl.streamFifo_3.popping
  connect $techmap\murax.system_uartCtrl.streamFifo_3.$1\popPtr_willIncrement[0:0] $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1366_Y
  connect $techmap\murax.system_uartCtrl.streamFifo_3.$0\popPtr_willIncrement[0:0] $techmap\murax.system_uartCtrl.streamFifo_3.$1\popPtr_willIncrement[0:0]
  connect $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1370_CMP \murax.system_uartCtrl.streamFifo_3.popPtr_willClear
  connect $techmap\murax.system_uartCtrl.streamFifo_3.$1\popPtr_valueNext[3:0] $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1369_Y
  connect $techmap\murax.system_uartCtrl.streamFifo_3.$0\popPtr_valueNext[3:0] $techmap\murax.system_uartCtrl.streamFifo_3.$1\popPtr_valueNext[3:0]
  connect $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1372_CMP $techmap\murax.system_uartCtrl.streamFifo_3.$ne$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:702$95_Y
  connect $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1374_CMP \murax.system_uartCtrl.streamFifo_3.io_flush
  connect $techmap\murax.system_uartCtrl.streamFifo_3.$0\risingOccupancy[0:0] $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1373_Y
  connect $techmap\murax.system_uartCtrl.streamFifo_3.$0\popPtr_value[3:0] \murax.system_uartCtrl.streamFifo_3.popPtr_valueNext
  connect $techmap\murax.system_uartCtrl.streamFifo_3.$0\pushPtr_value[3:0] \murax.system_uartCtrl.streamFifo_3.pushPtr_valueNext
  connect $techmap\murax.system_uartCtrl.streamFifo_3.$0\_zz_2[0:0] $techmap\murax.system_uartCtrl.streamFifo_3.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:701$94_Y
  connect \murax.system_uartCtrl.streamFifo_3._zz_1 $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1354_Y
  connect \murax.system_uartCtrl.streamFifo_3.pushPtr_willIncrement $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1351_Y
  connect \murax.system_uartCtrl.streamFifo_3.pushPtr_willClear $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1360_Y
  connect \murax.system_uartCtrl.streamFifo_3.popPtr_willClear $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1357_Y
  connect \murax.system_uartCtrl.streamFifo_3.pushPtr_valueNext $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1363_Y
  connect \murax.system_uartCtrl.streamFifo_3.popPtr_willIncrement $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1366_Y
  connect \murax.system_uartCtrl.streamFifo_3.popPtr_valueNext $techmap\murax.system_uartCtrl.streamFifo_3.$procmux$1369_Y
  connect \murax.jtagBridge_1.flowCCByToggle_1.bufferCC_3.io_dataIn \murax.jtagBridge_1.flowCCByToggle_1.inputArea_target
  connect \murax.jtagBridge_1.flowCCByToggle_1._zz_4 \murax.jtagBridge_1.flowCCByToggle_1.bufferCC_3.io_dataOut
  connect \murax.jtagBridge_1.flowCCByToggle_1.bufferCC_3.io_mainClk \murax.jtagBridge_1.flowCCByToggle_1.io_mainClk
  connect \murax.jtagBridge_1.flowCCByToggle_1.bufferCC_3.resetCtrl_mainClkReset \murax.jtagBridge_1.flowCCByToggle_1.resetCtrl_mainClkReset
  connect \murax.jtagBridge_1.flowCCByToggle_1.bufferCC_3.io_dataOut \murax.jtagBridge_1.flowCCByToggle_1.bufferCC_3.buffers_1
  connect $techmap\murax.jtagBridge_1.flowCCByToggle_1.bufferCC_3.$0\buffers_1[0:0] \murax.jtagBridge_1.flowCCByToggle_1.bufferCC_3.buffers_0
  connect $techmap\murax.jtagBridge_1.flowCCByToggle_1.bufferCC_3.$0\buffers_0[0:0] \murax.jtagBridge_1.flowCCByToggle_1.bufferCC_3.io_dataIn
  connect \murax.system_uartCtrl.uartCtrl_1.rx.io_configFrame_dataLength \murax.system_uartCtrl.uartCtrl_1.io_config_frame_dataLength
  connect \murax.system_uartCtrl.uartCtrl_1.rx.io_configFrame_parity \murax.system_uartCtrl.uartCtrl_1.io_config_frame_parity
  connect \murax.system_uartCtrl.uartCtrl_1.rx.io_configFrame_stop \murax.system_uartCtrl.uartCtrl_1.io_config_frame_stop
  connect \murax.system_uartCtrl.uartCtrl_1.rx.io_mainClk \murax.system_uartCtrl.uartCtrl_1.io_mainClk
  connect \murax.system_uartCtrl.uartCtrl_1._zz_4 \murax.system_uartCtrl.uartCtrl_1.rx.io_read_payload
  connect \murax.system_uartCtrl.uartCtrl_1._zz_3 \murax.system_uartCtrl.uartCtrl_1.rx.io_read_valid
  connect \murax.system_uartCtrl.uartCtrl_1.rx.io_rxd \murax.system_uartCtrl.uartCtrl_1.io_uart_rxd
  connect \murax.system_uartCtrl.uartCtrl_1.rx.io_samplingTick \murax.system_uartCtrl.uartCtrl_1.clockDivider_tick
  connect \murax.system_uartCtrl.uartCtrl_1.rx.resetCtrl_systemReset \murax.system_uartCtrl.uartCtrl_1.resetCtrl_systemReset
  connect \murax.system_uartCtrl.uartCtrl_1.rx._zz_4 $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:313$23_Y
  connect \murax.system_uartCtrl.uartCtrl_1.rx._zz_5 $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:314$24_Y
  connect \murax.system_uartCtrl.uartCtrl_1.rx._zz_6 $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:315$25_Y
  connect \murax.system_uartCtrl.uartCtrl_1.rx._zz_7 $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:316$27_Y
  connect \murax.system_uartCtrl.uartCtrl_1.rx._zz_8 { 2'00 \murax.system_uartCtrl.uartCtrl_1.rx._zz_7 }
  connect \murax.system_uartCtrl.uartCtrl_1.rx._zz_2 1'0
  connect \murax.system_uartCtrl.uartCtrl_1.rx.sampler_syncroniser \murax.system_uartCtrl.uartCtrl_1.rx._zz_3
  connect \murax.system_uartCtrl.uartCtrl_1.rx._zz_1 1'1
  connect \murax.system_uartCtrl.uartCtrl_1.rx.sampler_samples_0 \murax.system_uartCtrl.uartCtrl_1.rx.sampler_syncroniser
  connect \murax.system_uartCtrl.uartCtrl_1.rx.io_read_valid \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_validReg
  connect \murax.system_uartCtrl.uartCtrl_1.rx.io_read_payload \murax.system_uartCtrl.uartCtrl_1.rx.stateMachine_shifter
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1420_CMP \murax.system_uartCtrl.uartCtrl_1.rx._zz_6
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1422_CMP \murax.system_uartCtrl.uartCtrl_1.rx.sampler_tick
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$2\bitTimer_tick[0:0] $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1421_Y
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1425_CMP \murax.system_uartCtrl.uartCtrl_1.rx.sampler_tick
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$1\bitTimer_tick[0:0] $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1424_Y
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$0\bitTimer_tick[0:0] $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$1\bitTimer_tick[0:0]
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1429_CMP $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:386$41_Y
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1431_CMP \murax.system_uartCtrl.uartCtrl_1.rx.bitTimer_tick
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1436_CMP $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:375$40_Y
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1438_CMP \murax.system_uartCtrl.uartCtrl_1.rx._zz_5
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1440_CMP \murax.system_uartCtrl.uartCtrl_1.rx.bitTimer_tick
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$0\stateMachine_validReg[0:0] $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1432_Y
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$0\sampler_tick[0:0] \murax.system_uartCtrl.uartCtrl_1.rx.io_samplingTick
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$0\sampler_value[0:0] $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$logic_or$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:355$38_Y
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1443_CMP \murax.system_uartCtrl.uartCtrl_1.rx.io_samplingTick
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$0\sampler_samples_2[0:0] $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1442_Y
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1445_CMP \murax.system_uartCtrl.uartCtrl_1.rx.io_samplingTick
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$0\sampler_samples_1[0:0] $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1444_Y
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1447_CMP $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:399$43_Y
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1450_CMP $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$logic_not$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:396$42_Y
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1452_CMP \murax.system_uartCtrl.uartCtrl_1.rx.bitTimer_tick
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1456_CMP $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:386$41_Y
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1458_CMP \murax.system_uartCtrl.uartCtrl_1.rx.bitTimer_tick
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1463_CMP $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:375$40_Y
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1465_CMP \murax.system_uartCtrl.uartCtrl_1.rx._zz_5
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1467_CMP \murax.system_uartCtrl.uartCtrl_1.rx.bitTimer_tick
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1470_CMP $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:367$39_Y
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1472_CMP \murax.system_uartCtrl.uartCtrl_1.rx.bitTimer_tick
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1475_CMP \murax.system_uartCtrl.uartCtrl_1.rx._zz_4
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$0\stateMachine_state[2:0] $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1459_Y
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1481_CMP \murax.system_uartCtrl.uartCtrl_1.rx.bitTimer_tick
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$0\stateMachine_shifter[7:0] [7] $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1482_Y
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1489_CMP \murax.system_uartCtrl.uartCtrl_1.rx.bitTimer_tick
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$0\stateMachine_shifter[7:0] [6] $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1490_Y
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1498_CMP \murax.system_uartCtrl.uartCtrl_1.rx.bitTimer_tick
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$0\stateMachine_shifter[7:0] [5] $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1499_Y
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1508_CMP \murax.system_uartCtrl.uartCtrl_1.rx.bitTimer_tick
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$0\stateMachine_shifter[7:0] [4] $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1509_Y
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1519_CMP \murax.system_uartCtrl.uartCtrl_1.rx.bitTimer_tick
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$0\stateMachine_shifter[7:0] [3] $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1520_Y
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1531_CMP \murax.system_uartCtrl.uartCtrl_1.rx.bitTimer_tick
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$0\stateMachine_shifter[7:0] [2] $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1532_Y
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1544_CMP \murax.system_uartCtrl.uartCtrl_1.rx.bitTimer_tick
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$0\stateMachine_shifter[7:0] [1] $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1545_Y
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1558_CMP \murax.system_uartCtrl.uartCtrl_1.rx.bitTimer_tick
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$0\stateMachine_shifter[7:0] [0] $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1559_Y
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1562_CMP \murax.system_uartCtrl.uartCtrl_1.rx.bitTimer_tick
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1564_CMP \murax.system_uartCtrl.uartCtrl_1.rx.bitTimer_tick
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1568_CMP \murax.system_uartCtrl.uartCtrl_1.rx._zz_5
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1570_CMP \murax.system_uartCtrl.uartCtrl_1.rx.bitTimer_tick
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1573_CMP \murax.system_uartCtrl.uartCtrl_1.rx.bitTimer_tick
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$0\bitCounter_value[2:0] $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1565_Y
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1576_CMP \murax.system_uartCtrl.uartCtrl_1.rx._zz_6
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1578_CMP \murax.system_uartCtrl.uartCtrl_1.rx.sampler_tick
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1583_CMP \murax.system_uartCtrl.uartCtrl_1.rx._zz_4
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$0\bitTimer_counter[2:0] $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1584_Y
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1587_CMP \murax.system_uartCtrl.uartCtrl_1.rx.bitTimer_tick
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1591_CMP \murax.system_uartCtrl.uartCtrl_1.rx.bitTimer_tick
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$0\stateMachine_parity[0:0] $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1592_Y
  connect \murax.system_uartCtrl.uartCtrl_1.rx.bitTimer_tick $techmap\murax.system_uartCtrl.uartCtrl_1.rx.$procmux$1424_Y
  connect \murax.system_uartCtrl.uartCtrl_1.tx.io_configFrame_dataLength \murax.system_uartCtrl.uartCtrl_1.io_config_frame_dataLength
  connect \murax.system_uartCtrl.uartCtrl_1.tx.io_configFrame_parity \murax.system_uartCtrl.uartCtrl_1.io_config_frame_parity
  connect \murax.system_uartCtrl.uartCtrl_1.tx.io_configFrame_stop \murax.system_uartCtrl.uartCtrl_1.io_config_frame_stop
  connect \murax.system_uartCtrl.uartCtrl_1.tx.io_mainClk \murax.system_uartCtrl.uartCtrl_1.io_mainClk
  connect \murax.system_uartCtrl.uartCtrl_1.tx.io_samplingTick \murax.system_uartCtrl.uartCtrl_1.clockDivider_tick
  connect \murax.system_uartCtrl.uartCtrl_1._zz_2 \murax.system_uartCtrl.uartCtrl_1.tx.io_txd
  connect \murax.system_uartCtrl.uartCtrl_1.tx.io_write_payload \murax.system_uartCtrl.uartCtrl_1.io_write_payload
  connect \murax.system_uartCtrl.uartCtrl_1._zz_1 \murax.system_uartCtrl.uartCtrl_1.tx.io_write_ready
  connect \murax.system_uartCtrl.uartCtrl_1.tx.io_write_valid \murax.system_uartCtrl.uartCtrl_1.io_write_valid
  connect \murax.system_uartCtrl.uartCtrl_1.tx.resetCtrl_systemReset \murax.system_uartCtrl.uartCtrl_1.resetCtrl_systemReset
  connect \murax.system_uartCtrl.uartCtrl_1.tx._zz_2 $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:149$3_Y
  connect \murax.system_uartCtrl.uartCtrl_1.tx._zz_3 \murax.system_uartCtrl.uartCtrl_1.tx.clockDivider_counter_willIncrement
  connect \murax.system_uartCtrl.uartCtrl_1.tx._zz_4 { 2'00 \murax.system_uartCtrl.uartCtrl_1.tx._zz_3 }
  connect \murax.system_uartCtrl.uartCtrl_1.tx._zz_5 $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$ternary$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:152$5_Y
  connect \murax.system_uartCtrl.uartCtrl_1.tx._zz_6 { 2'00 \murax.system_uartCtrl.uartCtrl_1.tx._zz_5 }
  connect \murax.system_uartCtrl.uartCtrl_1.tx.clockDivider_counter_willClear 1'0
  connect \murax.system_uartCtrl.uartCtrl_1.tx.clockDivider_counter_willOverflowIfInc $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:162$7_Y
  connect \murax.system_uartCtrl.uartCtrl_1.tx.clockDivider_tick $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:163$8_Y
  connect \murax.system_uartCtrl.uartCtrl_1.tx.io_txd \murax.system_uartCtrl.uartCtrl_1.tx._zz_1
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1596_CMP \murax.system_uartCtrl.uartCtrl_1.tx.io_samplingTick
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$1\clockDivider_counter_willIncrement[0:0] $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1595_Y
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$0\clockDivider_counter_willIncrement[0:0] $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$1\clockDivider_counter_willIncrement[0:0]
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1599_CMP \murax.system_uartCtrl.uartCtrl_1.tx.clockDivider_counter_willClear
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$2\clockDivider_counter_valueNext[2:0] $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1598_Y
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1602_CMP \murax.system_uartCtrl.uartCtrl_1.tx.clockDivider_tick
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$1\clockDivider_counter_valueNext[2:0] $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1601_Y
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$0\clockDivider_counter_valueNext[2:0] $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$2\clockDivider_counter_valueNext[2:0]
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1608_CMP \murax.system_uartCtrl.uartCtrl_1.tx._zz_2
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1610_CMP \murax.system_uartCtrl.uartCtrl_1.tx.clockDivider_tick
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$3\io_write_ready[0:0] $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1611_Y
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1617_CMP \murax.system_uartCtrl.uartCtrl_1.tx.clockDivider_tick
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$2\io_write_ready[0:0] $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1618_Y
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$1\stateMachine_txd[0:0] $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1621_Y
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$1\io_write_ready[0:0] $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1627_Y
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$0\stateMachine_txd[0:0] $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$1\stateMachine_txd[0:0]
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$0\io_write_ready[0:0] $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$1\io_write_ready[0:0]
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$0\_zz_1[0:0] \murax.system_uartCtrl.uartCtrl_1.tx.stateMachine_txd
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1630_CMP $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:237$16_Y
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1632_CMP \murax.system_uartCtrl.uartCtrl_1.tx.clockDivider_tick
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1635_CMP \murax.system_uartCtrl.uartCtrl_1.tx.clockDivider_tick
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1640_CMP $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$eq$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:222$15_Y
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1642_CMP \murax.system_uartCtrl.uartCtrl_1.tx._zz_2
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1644_CMP \murax.system_uartCtrl.uartCtrl_1.tx.clockDivider_tick
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1647_CMP \murax.system_uartCtrl.uartCtrl_1.tx.clockDivider_tick
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1650_CMP $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$logic_and$/usr/local/google/home/keithrothman/cat_x/symbiflow-arch-defs/build/soc_tests/murax/Murax.v:210$14_Y
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$0\stateMachine_state[2:0] $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1636_Y
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$0\clockDivider_counter_value[2:0] \murax.system_uartCtrl.uartCtrl_1.tx.clockDivider_counter_valueNext
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1653_CMP \murax.system_uartCtrl.uartCtrl_1.tx.clockDivider_tick
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1657_CMP \murax.system_uartCtrl.uartCtrl_1.tx.clockDivider_tick
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$0\stateMachine_parity[0:0] $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1658_Y
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1661_CMP \murax.system_uartCtrl.uartCtrl_1.tx.clockDivider_tick
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1663_CMP \murax.system_uartCtrl.uartCtrl_1.tx.clockDivider_tick
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1667_CMP \murax.system_uartCtrl.uartCtrl_1.tx._zz_2
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1669_CMP \murax.system_uartCtrl.uartCtrl_1.tx.clockDivider_tick
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1672_CMP \murax.system_uartCtrl.uartCtrl_1.tx.clockDivider_tick
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$0\tickCounter_value[2:0] $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1664_Y
  connect \murax.system_uartCtrl.uartCtrl_1.tx.clockDivider_counter_willIncrement $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1595_Y
  connect \murax.system_uartCtrl.uartCtrl_1.tx.clockDivider_counter_valueNext $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1598_Y
  connect \murax.system_uartCtrl.uartCtrl_1.tx.io_write_ready $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1627_Y
  connect \murax.system_uartCtrl.uartCtrl_1.tx.stateMachine_txd $techmap\murax.system_uartCtrl.uartCtrl_1.tx.$procmux$1621_Y
  connect \murax.system_uartCtrl.uartCtrl_1.rx.bufferCC_3.io_dataIn \murax.system_uartCtrl.uartCtrl_1.rx.io_rxd
  connect \murax.system_uartCtrl.uartCtrl_1.rx._zz_3 \murax.system_uartCtrl.uartCtrl_1.rx.bufferCC_3.io_dataOut
  connect \murax.system_uartCtrl.uartCtrl_1.rx.bufferCC_3.io_initial \murax.system_uartCtrl.uartCtrl_1.rx._zz_2
  connect \murax.system_uartCtrl.uartCtrl_1.rx.bufferCC_3.io_mainClk \murax.system_uartCtrl.uartCtrl_1.rx.io_mainClk
  connect \murax.system_uartCtrl.uartCtrl_1.rx.bufferCC_3.resetCtrl_systemReset \murax.system_uartCtrl.uartCtrl_1.rx.resetCtrl_systemReset
  connect \murax.system_uartCtrl.uartCtrl_1.rx.bufferCC_3.io_dataOut \murax.system_uartCtrl.uartCtrl_1.rx.bufferCC_3.buffers_1
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.rx.bufferCC_3.$0\buffers_1[0:0] \murax.system_uartCtrl.uartCtrl_1.rx.bufferCC_3.buffers_0
  connect $techmap\murax.system_uartCtrl.uartCtrl_1.rx.bufferCC_3.$0\buffers_0[0:0] \murax.system_uartCtrl.uartCtrl_1.rx.bufferCC_3.io_dataIn
end