Commit c3a5156e by Miodrag Milanovic

Test VHDL boolean

parent c3b8df95
library ieee;
use ieee.std_logic_1164.all;
entity top is
port (clk : in std_logic; din : in boolean; dout : out boolean);
end entity;
architecture arch of top is
signal r : boolean;
begin
process (clk)
begin
if rising_edge(clk) then
r <= din;
dout <= r;
end if;
end process;
end arch;
verific -vhdl enum_bool.vhd
hierarchy -top top
select -assert-count 3 a:enum_value_0=\\false
select -assert-count 3 a:enum_value_1=\\true
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment