Skip to content
Projects
Groups
Snippets
Help
This project
Loading...
Sign in / Register
Toggle navigation
Y
yosys-tests
Overview
Overview
Details
Activity
Cycle Analytics
Repository
Repository
Files
Commits
Branches
Tags
Contributors
Graph
Compare
Charts
Issues
0
Issues
0
List
Board
Labels
Milestones
Merge Requests
0
Merge Requests
0
CI / CD
CI / CD
Pipelines
Jobs
Schedules
Charts
Wiki
Wiki
Snippets
Snippets
Members
Members
Collapse sidebar
Close sidebar
Activity
Graph
Charts
Create a new issue
Jobs
Commits
Issue Boards
Open sidebar
lvzhengyang
yosys-tests
Commits
8574217a
Commit
8574217a
authored
Dec 25, 2019
by
SergeyDegtyar
Browse files
Options
Browse Files
Download
Email Patches
Plain Diff
remove unnecessary rerun inside tests
parent
f200dc81
Show whitespace changes
Inline
Side-by-side
Showing
88 changed files
with
2 additions
and
331 deletions
+2
-331
simple_reviewed/expose/expose_evert_dff_shared.ys
+0
-4
simple_reviewed/expose/expose_input.ys
+0
-4
simple_reviewed/extract/extract_cell_attr.ys
+0
-4
simple_reviewed/extract/extract_compat.ys
+0
-4
simple_reviewed/extract/extract_constports.ys
+0
-4
simple_reviewed/extract/extract_ignore_param.ys
+0
-4
simple_reviewed/extract/extract_ignore_parameters.ys
+0
-4
simple_reviewed/extract/extract_map.ys
+0
-4
simple_reviewed/extract/extract_map_design.ys
+0
-4
simple_reviewed/extract/extract_nodefaultswaps.ys
+0
-4
simple_reviewed/extract/extract_perm.ys
+0
-4
simple_reviewed/extract/extract_swap.ys
+0
-4
simple_reviewed/extract/extract_verbose.ys
+0
-4
simple_reviewed/extract/extract_wire_attr.ys
+0
-4
simple_reviewed/extract_counter/extract_counter.ys
+0
-3
simple_reviewed/extract_counter/extract_counter_down.ys
+0
-3
simple_reviewed/extract_counter/extract_counter_maxwidth.ys
+0
-3
simple_reviewed/extract_counter/extract_counter_pout.ys
+0
-3
simple_reviewed/extract_counter/extract_counter_pout_without_args_fail.ys
+0
-3
simple_reviewed/hierarchy/hierarchy_huge.ys
+0
-4
simple_reviewed/memory/memory_bram_cant_open_rules_file_fail.ys
+0
-5
simple_reviewed/memory/memory_bram_opt.ys
+0
-5
simple_reviewed/memory/memory_bram_syntax_error_in_rules_fail.ys
+0
-5
simple_reviewed/memory/memory_memx_opt.ys
+0
-5
simple_reviewed/memory/memory_nomap.ys
+0
-5
simple_reviewed/memory/memory_nordff.ys
+0
-5
simple_reviewed/memory/memory_nordff_opt.ys
+0
-5
simple_reviewed/memory/memory_nordff_single_port.ys
+0
-1
simple_reviewed/memory/memory_share.ys
+0
-5
simple_reviewed/memory/memory_single_port.ys
+0
-5
simple_reviewed/opt_lut/opt_lut_dlogic.ys
+0
-4
simple_reviewed/opt_lut/opt_lut_limit.ys
+0
-4
simple_reviewed/opt_lut/opt_lut_limit_0.ys
+0
-4
simple_reviewed/shregmap/shregmap_clkpol_any.ys
+0
-3
simple_reviewed/shregmap/shregmap_clkpol_neg.ys
+0
-3
simple_reviewed/shregmap/shregmap_clkpol_pos.ys
+0
-3
simple_reviewed/shregmap/shregmap_enpol_any.ys
+0
-3
simple_reviewed/shregmap/shregmap_enpol_any_or_none.ys
+0
-3
simple_reviewed/shregmap/shregmap_enpol_neg.ys
+0
-3
simple_reviewed/shregmap/shregmap_enpol_none.ys
+0
-3
simple_reviewed/shregmap/shregmap_enpol_pos.ys
+0
-3
simple_reviewed/shregmap/shregmap_init.ys
+0
-3
simple_reviewed/shregmap/shregmap_keep_after.ys
+0
-3
simple_reviewed/shregmap/shregmap_keep_before.ys
+0
-3
simple_reviewed/shregmap/shregmap_match.ys
+0
-3
simple_reviewed/shregmap/shregmap_match_clkpol_fail.ys
+0
-3
simple_reviewed/shregmap/shregmap_match_enpol_fail.ys
+0
-3
simple_reviewed/shregmap/shregmap_match_params_fail.ys
+0
-3
simple_reviewed/shregmap/shregmap_maxlen.ys
+0
-3
simple_reviewed/shregmap/shregmap_minlen.ys
+0
-3
simple_reviewed/shregmap/shregmap_params.ys
+0
-3
simple_reviewed/shregmap/shregmap_resetable.ys
+0
-3
simple_reviewed/shregmap/shregmap_tech.ys
+0
-3
simple_reviewed/shregmap/shregmap_zinit.ys
+0
-3
simple_reviewed/shregmap/shregmap_zinit_init_fail.ys
+0
-3
simple_reviewed/shregmap/simplemap.ys
+0
-5
simple_reviewed/shregmap/simplemap_slice_concat.ys
+0
-6
simple_reviewed/shregmap/simplemap_top.ys
+0
-6
simple_reviewed/submod/submod_mem.ys
+0
-4
simple_reviewed/test_pmgen/test_pmgen_generate_ice40_dsp.ys
+0
-3
simple_reviewed/test_pmgen/test_pmgen_generate_peepopt_muldiv.ys
+0
-4
simple_reviewed/test_pmgen/test_pmgen_generate_peepopt_shiftmul.ys
+0
-4
simple_reviewed/test_pmgen/test_pmgen_generate_reduce.ys
+0
-4
simple_reviewed/test_pmgen/test_pmgen_generate_xilinx_srl_fixed.ys
+0
-4
simple_reviewed/test_pmgen/test_pmgen_generate_xilinx_srl_variable.ys
+0
-4
simple_reviewed/test_pmgen/test_pmgen_reduce_chain.ys
+0
-4
simple_reviewed/test_pmgen/test_pmgen_reduce_tree.ys
+0
-4
simple_reviewed/wreduce/wreduce.ys
+0
-4
simple_reviewed/wreduce/wreduce_div.ys
+0
-4
simple_reviewed/wreduce/wreduce_keepdc.ys
+0
-4
simple_reviewed/wreduce/wreduce_keepdc_div.ys
+0
-4
simple_reviewed/wreduce/wreduce_keepdc_mem.ys
+0
-4
simple_reviewed/wreduce/wreduce_keepdc_mul.ys
+0
-4
simple_reviewed/wreduce/wreduce_keepdc_reduce.ys
+0
-4
simple_reviewed/wreduce/wreduce_mem.ys
+0
-4
simple_reviewed/wreduce/wreduce_mem_synth.ys
+2
-0
simple_reviewed/wreduce/wreduce_memx.ys
+0
-4
simple_reviewed/wreduce/wreduce_memx_div.ys
+0
-4
simple_reviewed/wreduce/wreduce_memx_keepdc.ys
+0
-4
simple_reviewed/wreduce/wreduce_memx_keepdc_div.ys
+0
-4
simple_reviewed/wreduce/wreduce_memx_keepdc_mem.ys
+0
-4
simple_reviewed/wreduce/wreduce_memx_keepdc_mul.ys
+0
-4
simple_reviewed/wreduce/wreduce_memx_keepdc_reduce.ys
+0
-4
simple_reviewed/wreduce/wreduce_memx_mem.ys
+0
-4
simple_reviewed/wreduce/wreduce_memx_mul.ys
+0
-4
simple_reviewed/wreduce/wreduce_memx_reduce.ys
+0
-4
simple_reviewed/wreduce/wreduce_mul.ys
+0
-4
simple_reviewed/wreduce/wreduce_reduce.ys
+0
-4
No files found.
simple_reviewed/expose/expose_evert_dff_shared.ys
View file @
8574217a
...
@@ -7,7 +7,3 @@ flatten
...
@@ -7,7 +7,3 @@ flatten
opt
opt
opt_rmdff
opt_rmdff
expose -evert-dff
expose -evert-dff
design -reset
read_verilog ../top.v
proc
simple_reviewed/expose/expose_input.ys
View file @
8574217a
...
@@ -6,7 +6,3 @@ flatten
...
@@ -6,7 +6,3 @@ flatten
opt
opt
opt_rmdff
opt_rmdff
expose -input
expose -input
design -reset
read_verilog ../top.v
synth -top top
simple_reviewed/extract/extract_cell_attr.ys
View file @
8574217a
read_verilog ../top.v
read_verilog ../top.v
extract -map ../top.v -cell_attr attr
extract -map ../top.v -cell_attr attr
design -reset
read_verilog ../top.v
proc
simple_reviewed/extract/extract_compat.ys
View file @
8574217a
read_verilog ../top.v
read_verilog ../top.v
extract -map ../top.v -compat $dff a
extract -map ../top.v -compat $dff a
design -reset
read_verilog ../top.v
proc
simple_reviewed/extract/extract_constports.ys
View file @
8574217a
read_verilog ../top.v
read_verilog ../top.v
extract -map ../top.v -constports
extract -map ../top.v -constports
design -reset
read_verilog ../top.v
proc
simple_reviewed/extract/extract_ignore_param.ys
View file @
8574217a
read_verilog ../top.v
read_verilog ../top.v
extract -map ../top.v -ignore_param $dff param
extract -map ../top.v -ignore_param $dff param
design -reset
read_verilog ../top.v
proc
simple_reviewed/extract/extract_ignore_parameters.ys
View file @
8574217a
read_verilog ../top.v
read_verilog ../top.v
extract -map ../top.v -ignore_parameters
extract -map ../top.v -ignore_parameters
design -reset
read_verilog ../top.v
proc
simple_reviewed/extract/extract_map.ys
View file @
8574217a
read_verilog ../top.v
read_verilog ../top.v
extract -map ../top.v
extract -map ../top.v
design -reset
read_verilog ../top.v
proc
simple_reviewed/extract/extract_map_design.ys
View file @
8574217a
read_verilog ../top.v
read_verilog ../top.v
design -save top_test
design -save top_test
extract -map %top_test
extract -map %top_test
design -reset
read_verilog ../top.v
proc
simple_reviewed/extract/extract_nodefaultswaps.ys
View file @
8574217a
read_verilog ../top.v
read_verilog ../top.v
extract -map ../top.v -nodefaultswaps
extract -map ../top.v -nodefaultswaps
design -reset
read_verilog ../top.v
proc
simple_reviewed/extract/extract_perm.ys
View file @
8574217a
read_verilog ../top.v
read_verilog ../top.v
extract -map ../top.v -perm $dff D,CLK D,CLK
extract -map ../top.v -perm $dff D,CLK D,CLK
design -reset
read_verilog ../top.v
proc
simple_reviewed/extract/extract_swap.ys
View file @
8574217a
read_verilog ../top.v
read_verilog ../top.v
extract -map ../top.v -swap $dff D,CLK
extract -map ../top.v -swap $dff D,CLK
design -reset
read_verilog ../top.v
proc
simple_reviewed/extract/extract_verbose.ys
View file @
8574217a
read_verilog ../top.v
read_verilog ../top.v
extract -map ../top.v -verbose
extract -map ../top.v -verbose
design -reset
read_verilog ../top.v
proc
simple_reviewed/extract/extract_wire_attr.ys
View file @
8574217a
read_verilog ../top.v
read_verilog ../top.v
extract -map ../top.v -wire_attr attr
extract -map ../top.v -wire_attr attr
design -reset
read_verilog ../top.v
proc
simple_reviewed/extract_counter/extract_counter.ys
View file @
8574217a
read_verilog ../top.v
read_verilog ../top.v
synth_greenpak4
synth_greenpak4
extract_counter
extract_counter
design -reset
read_verilog ../top.v
simple_reviewed/extract_counter/extract_counter_down.ys
View file @
8574217a
read_verilog ../top_down.v
read_verilog ../top_down.v
synth_greenpak4
synth_greenpak4
extract_counter
extract_counter
design -reset
read_verilog ../top.v
simple_reviewed/extract_counter/extract_counter_maxwidth.ys
View file @
8574217a
read_verilog ../top.v
read_verilog ../top.v
synth_greenpak4
synth_greenpak4
extract_counter -maxwidth 4
extract_counter -maxwidth 4
design -reset
read_verilog ../top.v
simple_reviewed/extract_counter/extract_counter_pout.ys
View file @
8574217a
read_verilog ../top.v
read_verilog ../top.v
synth_greenpak4
synth_greenpak4
extract_counter -pout X
extract_counter -pout X
design -reset
read_verilog ../top.v
simple_reviewed/extract_counter/extract_counter_pout_without_args_fail.ys
View file @
8574217a
read_verilog ../top_err.v
read_verilog ../top_err.v
synth_greenpak4
synth_greenpak4
extract_counter -pout
extract_counter -pout
design -reset
read_verilog ../top_err.v
simple_reviewed/hierarchy/hierarchy_huge.ys
View file @
8574217a
read_verilog ../yosys_rocket/AsyncResetReg.v ../yosys_rocket/EICG_wrapper.v ../yosys_rocket/freechips.rocketchip.system.LowRiscConfig.v ../yosys_rocket/freechips.rocketchip.system.LowRiscConfig.behav_srams.v ../yosys_rocket/plusarg_reader.v ../yosys_rocket/SimDTM.v
read_verilog ../yosys_rocket/AsyncResetReg.v ../yosys_rocket/EICG_wrapper.v ../yosys_rocket/freechips.rocketchip.system.LowRiscConfig.v ../yosys_rocket/freechips.rocketchip.system.LowRiscConfig.behav_srams.v ../yosys_rocket/plusarg_reader.v ../yosys_rocket/SimDTM.v
proc
proc
hierarchy -generate -check -simcheck -purge_lib -keep_positionals -keep_portwidths -nokeep_asserts -auto-top
hierarchy -generate -check -simcheck -purge_lib -keep_positionals -keep_portwidths -nokeep_asserts -auto-top
design -reset
read_verilog ../top.v
synth
simple_reviewed/memory/memory_bram_cant_open_rules_file_fail.ys
View file @
8574217a
read_verilog ../top.v
read_verilog ../top.v
proc
proc
memory_bram -rules uuu
memory_bram -rules uuu
design -reset
read_verilog ../top.v
synth -top top
simple_reviewed/memory/memory_bram_opt.ys
View file @
8574217a
read_verilog ../top.v
read_verilog ../top.v
proc
proc
memory -bram ../words.v
memory -bram ../words.v
design -reset
read_verilog ../top.v
synth -top top
simple_reviewed/memory/memory_bram_syntax_error_in_rules_fail.ys
View file @
8574217a
read_verilog ../top.v
read_verilog ../top.v
proc
proc
memory_bram -rules ../rules.v
memory_bram -rules ../rules.v
design -reset
read_verilog ../top.v
synth -top top
simple_reviewed/memory/memory_memx_opt.ys
View file @
8574217a
read_verilog ../top.v
read_verilog ../top.v
proc
proc
memory -memx
memory -memx
design -reset
read_verilog ../top.v
synth -top top
simple_reviewed/memory/memory_nomap.ys
View file @
8574217a
...
@@ -2,8 +2,3 @@ read_verilog ../top.v
...
@@ -2,8 +2,3 @@ read_verilog ../top.v
proc
proc
memory -nomap
memory -nomap
memory_map
memory_map
design -reset
read_verilog ../top.v
synth -top top
simple_reviewed/memory/memory_nordff.ys
View file @
8574217a
...
@@ -15,8 +15,3 @@ memory_nordff
...
@@ -15,8 +15,3 @@ memory_nordff
memory_map
memory_map
memory_nordff
memory_nordff
memory_unpack
memory_unpack
design -reset
read_verilog ../top.v
synth -top top
simple_reviewed/memory/memory_nordff_opt.ys
View file @
8574217a
read_verilog ../top.v
read_verilog ../top.v
proc
proc
memory -nordff
memory -nordff
design -reset
read_verilog ../top.v
synth -top top
simple_reviewed/memory/memory_nordff_single_port.ys
View file @
8574217a
...
@@ -15,4 +15,3 @@ memory_nordff
...
@@ -15,4 +15,3 @@ memory_nordff
memory_map
memory_map
memory_nordff
memory_nordff
memory_unpack
memory_unpack
simple_reviewed/memory/memory_share.ys
View file @
8574217a
...
@@ -16,8 +16,3 @@ memory_map
...
@@ -16,8 +16,3 @@ memory_map
memory_share
memory_share
memory
memory
memory_share
memory_share
design -reset
read_verilog ../top.v
synth -top top
simple_reviewed/memory/memory_single_port.ys
View file @
8574217a
read_verilog ../top_single_port.v
read_verilog ../top_single_port.v
proc
proc
memory
memory
design -reset
read_verilog ../top.v
synth -top top
simple_reviewed/opt_lut/opt_lut_dlogic.ys
View file @
8574217a
read_verilog ../top.v
read_verilog ../top.v
synth_ice40
synth_ice40
opt_lut -dlogic $_ANDNOT_:A=I0
opt_lut -dlogic $_ANDNOT_:A=I0
design -reset
read_verilog ../top.v
synth -top top
simple_reviewed/opt_lut/opt_lut_limit.ys
View file @
8574217a
read_verilog ../top.v
read_verilog ../top.v
synth_ice40
synth_ice40
opt_lut -limit 2
opt_lut -limit 2
design -reset
read_verilog ../top.v
synth -top top
simple_reviewed/opt_lut/opt_lut_limit_0.ys
View file @
8574217a
read_verilog ../top.v
read_verilog ../top.v
synth_ice40
synth_ice40
opt_lut -limit 0
opt_lut -limit 0
design -reset
read_verilog ../top.v
synth -top top
simple_reviewed/shregmap/shregmap_clkpol_any.ys
View file @
8574217a
read_verilog ../top.v
read_verilog ../top.v
synth_greenpak4 -run begin:map_luts
synth_greenpak4 -run begin:map_luts
shregmap -clkpol any
shregmap -clkpol any
design -reset
read_verilog ../top.v
simple_reviewed/shregmap/shregmap_clkpol_neg.ys
View file @
8574217a
read_verilog ../top.v
read_verilog ../top.v
synth_greenpak4 -run begin:map_luts
synth_greenpak4 -run begin:map_luts
shregmap -clkpol neg
shregmap -clkpol neg
design -reset
read_verilog ../top.v
simple_reviewed/shregmap/shregmap_clkpol_pos.ys
View file @
8574217a
read_verilog ../top.v
read_verilog ../top.v
synth_greenpak4 -run begin:map_luts
synth_greenpak4 -run begin:map_luts
shregmap -clkpol pos
shregmap -clkpol pos
design -reset
read_verilog ../top.v
simple_reviewed/shregmap/shregmap_enpol_any.ys
View file @
8574217a
read_verilog ../top.v
read_verilog ../top.v
synth_greenpak4 -run begin:map_luts
synth_greenpak4 -run begin:map_luts
shregmap -enpol any
shregmap -enpol any
design -reset
read_verilog ../top.v
simple_reviewed/shregmap/shregmap_enpol_any_or_none.ys
View file @
8574217a
read_verilog ../top.v
read_verilog ../top.v
synth_greenpak4 -run begin:map_luts
synth_greenpak4 -run begin:map_luts
shregmap -tech greenpak4 -enpol any_or_none
shregmap -tech greenpak4 -enpol any_or_none
design -reset
read_verilog ../top.v
simple_reviewed/shregmap/shregmap_enpol_neg.ys
View file @
8574217a
read_verilog ../top.v
read_verilog ../top.v
synth_greenpak4 -run begin:map_luts
synth_greenpak4 -run begin:map_luts
shregmap -tech greenpak4 -enpol neg
shregmap -tech greenpak4 -enpol neg
design -reset
read_verilog ../top.v
simple_reviewed/shregmap/shregmap_enpol_none.ys
View file @
8574217a
read_verilog ../top.v
read_verilog ../top.v
synth_greenpak4 -run begin:map_luts
synth_greenpak4 -run begin:map_luts
shregmap -tech greenpak4 -enpol none
shregmap -tech greenpak4 -enpol none
design -reset
read_verilog ../top.v
simple_reviewed/shregmap/shregmap_enpol_pos.ys
View file @
8574217a
read_verilog ../top.v
read_verilog ../top.v
synth_greenpak4 -run begin:map_luts
synth_greenpak4 -run begin:map_luts
shregmap -tech greenpak4 -enpol pos
shregmap -tech greenpak4 -enpol pos
design -reset
read_verilog ../top.v
simple_reviewed/shregmap/shregmap_init.ys
View file @
8574217a
read_verilog ../top.v
read_verilog ../top.v
synth_greenpak4 -run begin:map_luts
synth_greenpak4 -run begin:map_luts
shregmap -init
shregmap -init
design -reset
read_verilog ../top.v
simple_reviewed/shregmap/shregmap_keep_after.ys
View file @
8574217a
read_verilog ../top.v
read_verilog ../top.v
synth_greenpak4 -run begin:map_luts
synth_greenpak4 -run begin:map_luts
shregmap -tech greenpak4 -keep_after 5
shregmap -tech greenpak4 -keep_after 5
design -reset
read_verilog ../top.v
simple_reviewed/shregmap/shregmap_keep_before.ys
View file @
8574217a
read_verilog ../top.v
read_verilog ../top.v
synth_greenpak4 -run begin:map_luts
synth_greenpak4 -run begin:map_luts
shregmap -tech greenpak4 -keep_before 3
shregmap -tech greenpak4 -keep_before 3
design -reset
read_verilog ../top.v
simple_reviewed/shregmap/shregmap_match.ys
View file @
8574217a
read_verilog ../top.v
read_verilog ../top.v
synth_greenpak4 -run begin:map_luts
synth_greenpak4 -run begin:map_luts
shregmap -match \GP_DFF
shregmap -match \GP_DFF
design -reset
read_verilog ../top.v
simple_reviewed/shregmap/shregmap_match_clkpol_fail.ys
View file @
8574217a
read_verilog ../top.v
read_verilog ../top.v
synth_greenpak4 -run begin:map_luts
synth_greenpak4 -run begin:map_luts
shregmap -tech greenpak4 -match -clkpol any
shregmap -tech greenpak4 -match -clkpol any
design -reset
read_verilog ../top.v
simple_reviewed/shregmap/shregmap_match_enpol_fail.ys
View file @
8574217a
read_verilog ../top.v
read_verilog ../top.v
synth_greenpak4 -run begin:map_luts
synth_greenpak4 -run begin:map_luts
shregmap -match foobar -enpol any
shregmap -match foobar -enpol any
design -reset
read_verilog ../top.v
simple_reviewed/shregmap/shregmap_match_params_fail.ys
View file @
8574217a
read_verilog ../top.v
read_verilog ../top.v
shregmap -params -match 2:2
shregmap -params -match 2:2
design -reset
read_verilog ../top.v
simple_reviewed/shregmap/shregmap_maxlen.ys
View file @
8574217a
read_verilog ../top.v
read_verilog ../top.v
synth_greenpak4 -run begin:map_luts
synth_greenpak4 -run begin:map_luts
shregmap -tech greenpak4 -maxlen 10
shregmap -tech greenpak4 -maxlen 10
design -reset
read_verilog ../top.v
simple_reviewed/shregmap/shregmap_minlen.ys
View file @
8574217a
read_verilog ../top.v
read_verilog ../top.v
synth_greenpak4 -run begin:map_luts
synth_greenpak4 -run begin:map_luts
shregmap -tech greenpak4 -minlen 4
shregmap -tech greenpak4 -minlen 4
design -reset
read_verilog ../top.v
simple_reviewed/shregmap/shregmap_params.ys
View file @
8574217a
read_verilog ../top.v
read_verilog ../top.v
synth_greenpak4 -run begin:map_luts
synth_greenpak4 -run begin:map_luts
shregmap -tech greenpak4 -params
shregmap -tech greenpak4 -params
design -reset
read_verilog ../top.v
simple_reviewed/shregmap/shregmap_resetable.ys
View file @
8574217a
read_verilog ../top_resetable.v
read_verilog ../top_resetable.v
synth_greenpak4
synth_greenpak4
shregmap
shregmap
design -reset
read_verilog ../top.v
simple_reviewed/shregmap/shregmap_tech.ys
View file @
8574217a
read_verilog ../top.v
read_verilog ../top.v
synth_greenpak4 -run begin:map_luts
synth_greenpak4 -run begin:map_luts
shregmap -tech greenpak4
shregmap -tech greenpak4
design -reset
read_verilog ../top.v
simple_reviewed/shregmap/shregmap_zinit.ys
View file @
8574217a
read_verilog ../top.v
read_verilog ../top.v
synth_greenpak4 -run begin:map_luts
synth_greenpak4 -run begin:map_luts
shregmap -zinit
shregmap -zinit
design -reset
read_verilog ../top.v
simple_reviewed/shregmap/shregmap_zinit_init_fail.ys
View file @
8574217a
read_verilog ../top.v
read_verilog ../top.v
synth_greenpak4 -run begin:map_luts
synth_greenpak4 -run begin:map_luts
shregmap -tech greenpak4 -zinit -init
shregmap -tech greenpak4 -zinit -init
design -reset
read_verilog ../top.v
simple_reviewed/shregmap/simplemap.ys
deleted
100644 → 0
View file @
f200dc81
read_verilog ../top.v
prep
simplemap
synth
simple_reviewed/shregmap/simplemap_slice_concat.ys
deleted
100644 → 0
View file @
f200dc81
read_verilog ../top.v
synth
splice
simplemap top
synth
simple_reviewed/shregmap/simplemap_top.ys
deleted
100644 → 0
View file @
f200dc81
read_verilog ../top.v
prep
dff2dffe
simplemap top
synth
simple_reviewed/submod/submod_mem.ys
View file @
8574217a
...
@@ -2,7 +2,3 @@ read_verilog ../top_mem.v
...
@@ -2,7 +2,3 @@ read_verilog ../top_mem.v
memory_memx
memory_memx
proc
proc
submod
submod
design -reset
read_verilog ../top.v
synth -top top
simple_reviewed/test_pmgen/test_pmgen_generate_ice40_dsp.ys
View file @
8574217a
read_verilog ../top.v
read_verilog ../top.v
proc
proc
test_pmgen -generate ice40_dsp
test_pmgen -generate ice40_dsp
design -reset
read_verilog ../top.v
synth -top top
simple_reviewed/test_pmgen/test_pmgen_generate_peepopt_muldiv.ys
View file @
8574217a
read_verilog ../top.v
read_verilog ../top.v
proc
proc
test_pmgen -generate peepopt-muldiv
test_pmgen -generate peepopt-muldiv
design -reset
read_verilog ../top.v
synth -top top
simple_reviewed/test_pmgen/test_pmgen_generate_peepopt_shiftmul.ys
View file @
8574217a
read_verilog ../top.v
read_verilog ../top.v
proc
proc
test_pmgen -generate peepopt-shiftmul
test_pmgen -generate peepopt-shiftmul
design -reset
read_verilog ../top.v
synth -top top
simple_reviewed/test_pmgen/test_pmgen_generate_reduce.ys
View file @
8574217a
read_verilog ../top.v
read_verilog ../top.v
proc
proc
test_pmgen -generate reduce
test_pmgen -generate reduce
design -reset
read_verilog ../top.v
synth -top top
simple_reviewed/test_pmgen/test_pmgen_generate_xilinx_srl_fixed.ys
View file @
8574217a
read_verilog ../top.v
read_verilog ../top.v
proc
proc
test_pmgen -generate xilinx_srl.fixed
test_pmgen -generate xilinx_srl.fixed
design -reset
read_verilog ../top.v
synth -top top
simple_reviewed/test_pmgen/test_pmgen_generate_xilinx_srl_variable.ys
View file @
8574217a
read_verilog ../top.v
read_verilog ../top.v
proc
proc
test_pmgen -generate xilinx_srl.variable
test_pmgen -generate xilinx_srl.variable
design -reset
read_verilog ../top.v
synth -top top
simple_reviewed/test_pmgen/test_pmgen_reduce_chain.ys
View file @
8574217a
read_verilog ../top.v
read_verilog ../top.v
proc
proc
test_pmgen -reduce_chain
test_pmgen -reduce_chain
design -reset
read_verilog ../top.v
synth -top top
simple_reviewed/test_pmgen/test_pmgen_reduce_tree.ys
View file @
8574217a
read_verilog ../top.v
read_verilog ../top.v
proc
proc
test_pmgen -reduce_tree
test_pmgen -reduce_tree
design -reset
read_verilog ../top.v
synth -top top
simple_reviewed/wreduce/wreduce.ys
View file @
8574217a
read_verilog ../top.v
read_verilog ../top.v
hierarchy -top top
hierarchy -top top
proc; opt; memory; dff2dffe; wreduce; clean; opt
proc; opt; memory; dff2dffe; wreduce; clean; opt
design -reset
read_verilog ../top.v
synth -top top
write_verilog -noexpr -norename synth.v
simple_reviewed/wreduce/wreduce_div.ys
View file @
8574217a
read_verilog ../top_div.v
read_verilog ../top_div.v
hierarchy -top top
hierarchy -top top
proc; opt; memory; dff2dffe; wreduce; clean; opt
proc; opt; memory; dff2dffe; wreduce; clean; opt
design -reset
read_verilog ../top.v
synth -top top
write_verilog -noexpr -norename synth.v
simple_reviewed/wreduce/wreduce_keepdc.ys
View file @
8574217a
read_verilog ../top.v
read_verilog ../top.v
hierarchy -top top
hierarchy -top top
proc; opt; memory; dff2dffe; wreduce -keepdc; clean; opt
proc; opt; memory; dff2dffe; wreduce -keepdc; clean; opt
design -reset
read_verilog ../top.v
synth -top top
write_verilog -noexpr -norename synth.v
simple_reviewed/wreduce/wreduce_keepdc_div.ys
View file @
8574217a
read_verilog ../top_div.v
read_verilog ../top_div.v
hierarchy -top top
hierarchy -top top
proc; opt; memory; dff2dffe; wreduce -keepdc; clean; opt
proc; opt; memory; dff2dffe; wreduce -keepdc; clean; opt
design -reset
read_verilog ../top.v
synth -top top
write_verilog -noexpr -norename synth.v
simple_reviewed/wreduce/wreduce_keepdc_mem.ys
View file @
8574217a
read_verilog ../top_mem.v
read_verilog ../top_mem.v
hierarchy -top top
hierarchy -top top
proc; opt; memory; dff2dffe; wreduce -keepdc; clean; opt
proc; opt; memory; dff2dffe; wreduce -keepdc; clean; opt
design -reset
read_verilog ../top.v
synth -top top
write_verilog -noexpr -norename synth.v
simple_reviewed/wreduce/wreduce_keepdc_mul.ys
View file @
8574217a
read_verilog ../top_mul.v
read_verilog ../top_mul.v
hierarchy -top top
hierarchy -top top
proc; opt; memory; dff2dffe; wreduce -keepdc; clean; opt
proc; opt; memory; dff2dffe; wreduce -keepdc; clean; opt
design -reset
read_verilog ../top.v
synth -top top
write_verilog -noexpr -norename synth.v
simple_reviewed/wreduce/wreduce_keepdc_reduce.ys
View file @
8574217a
read_verilog ../top_reduce.v
read_verilog ../top_reduce.v
hierarchy -top top
hierarchy -top top
proc; opt; memory; dff2dffe; wreduce -keepdc; clean; opt
proc; opt; memory; dff2dffe; wreduce -keepdc; clean; opt
design -reset
read_verilog ../top.v
synth -top top
write_verilog -noexpr -norename synth.v
simple_reviewed/wreduce/wreduce_mem.ys
View file @
8574217a
read_verilog ../top_mem.v
read_verilog ../top_mem.v
hierarchy -top top
hierarchy -top top
proc; opt; memory; dff2dffe; wreduce; clean; opt
proc; opt; memory; dff2dffe; wreduce; clean; opt
design -reset
read_verilog ../top_mem.v
synth -top top
write_verilog -noexpr -norename synth.v
simple_reviewed/wreduce/wreduce_mem_synth.ys
0 → 100644
View file @
8574217a
read_verilog ../top_mem.v
synth -top top
simple_reviewed/wreduce/wreduce_memx.ys
View file @
8574217a
read_verilog ../top.v
read_verilog ../top.v
hierarchy -top top
hierarchy -top top
proc; opt; memory; dff2dffe; wreduce -memx; clean; opt
proc; opt; memory; dff2dffe; wreduce -memx; clean; opt
design -reset
read_verilog ../top.v
synth -top top
write_verilog -noexpr -norename synth.v
simple_reviewed/wreduce/wreduce_memx_div.ys
View file @
8574217a
read_verilog ../top_div.v
read_verilog ../top_div.v
hierarchy -top top
hierarchy -top top
proc; opt; memory; dff2dffe; wreduce -memx; clean; opt
proc; opt; memory; dff2dffe; wreduce -memx; clean; opt
design -reset
read_verilog ../top.v
synth -top top
write_verilog -noexpr -norename synth.v
simple_reviewed/wreduce/wreduce_memx_keepdc.ys
View file @
8574217a
read_verilog ../top.v
read_verilog ../top.v
hierarchy -top top
hierarchy -top top
proc; opt; memory; dff2dffe; wreduce -memx -keepdc; clean; opt
proc; opt; memory; dff2dffe; wreduce -memx -keepdc; clean; opt
design -reset
read_verilog ../top.v
synth -top top
write_verilog -noexpr -norename synth.v
simple_reviewed/wreduce/wreduce_memx_keepdc_div.ys
View file @
8574217a
read_verilog ../top_div.v
read_verilog ../top_div.v
hierarchy -top top
hierarchy -top top
proc; opt; memory; dff2dffe; wreduce -memx -keepdc; clean; opt
proc; opt; memory; dff2dffe; wreduce -memx -keepdc; clean; opt
design -reset
read_verilog ../top.v
synth -top top
write_verilog -noexpr -norename synth.v
simple_reviewed/wreduce/wreduce_memx_keepdc_mem.ys
View file @
8574217a
read_verilog ../top_mem.v
read_verilog ../top_mem.v
hierarchy -top top
hierarchy -top top
proc; opt; memory; dff2dffe; wreduce -memx -keepdc; clean; opt
proc; opt; memory; dff2dffe; wreduce -memx -keepdc; clean; opt
design -reset
read_verilog ../top.v
synth -top top
write_verilog -noexpr -norename synth.v
simple_reviewed/wreduce/wreduce_memx_keepdc_mul.ys
View file @
8574217a
read_verilog ../top_mul.v
read_verilog ../top_mul.v
hierarchy -top top
hierarchy -top top
proc; opt; memory; dff2dffe; wreduce -memx -keepdc; clean; opt
proc; opt; memory; dff2dffe; wreduce -memx -keepdc; clean; opt
design -reset
read_verilog ../top.v
synth -top top
write_verilog -noexpr -norename synth.v
simple_reviewed/wreduce/wreduce_memx_keepdc_reduce.ys
View file @
8574217a
read_verilog ../top_reduce.v
read_verilog ../top_reduce.v
hierarchy -top top
hierarchy -top top
proc; opt; memory; dff2dffe; wreduce -memx -keepdc; clean; opt
proc; opt; memory; dff2dffe; wreduce -memx -keepdc; clean; opt
design -reset
read_verilog ../top.v
synth -top top
write_verilog -noexpr -norename synth.v
simple_reviewed/wreduce/wreduce_memx_mem.ys
View file @
8574217a
read_verilog ../top_mem.v
read_verilog ../top_mem.v
hierarchy -top top
hierarchy -top top
proc; opt; memory; dff2dffe; wreduce -memx; clean; opt
proc; opt; memory; dff2dffe; wreduce -memx; clean; opt
design -reset
read_verilog ../top.v
synth -top top
write_verilog -noexpr -norename synth.v
simple_reviewed/wreduce/wreduce_memx_mul.ys
View file @
8574217a
read_verilog ../top_mul.v
read_verilog ../top_mul.v
hierarchy -top top
hierarchy -top top
proc; opt; memory; dff2dffe; wreduce -memx; clean; opt
proc; opt; memory; dff2dffe; wreduce -memx; clean; opt
design -reset
read_verilog ../top.v
synth -top top
write_verilog -noexpr -norename synth.v
simple_reviewed/wreduce/wreduce_memx_reduce.ys
View file @
8574217a
read_verilog ../top_reduce.v
read_verilog ../top_reduce.v
hierarchy -top top
hierarchy -top top
proc; opt; memory; dff2dffe; wreduce -memx; clean; opt
proc; opt; memory; dff2dffe; wreduce -memx; clean; opt
design -reset
read_verilog ../top.v
synth -top top
write_verilog -noexpr -norename synth.v
simple_reviewed/wreduce/wreduce_mul.ys
View file @
8574217a
read_verilog ../top_mul.v
read_verilog ../top_mul.v
hierarchy -top top
hierarchy -top top
proc; opt; memory; dff2dffe; wreduce; clean; opt
proc; opt; memory; dff2dffe; wreduce; clean; opt
design -reset
read_verilog ../top.v
synth -top top
write_verilog -noexpr -norename synth.v
simple_reviewed/wreduce/wreduce_reduce.ys
View file @
8574217a
read_verilog ../top_reduce.v
read_verilog ../top_reduce.v
hierarchy -top top
hierarchy -top top
proc; opt; memory; dff2dffe; wreduce; clean; opt
proc; opt; memory; dff2dffe; wreduce; clean; opt
design -reset
read_verilog ../top.v
synth -top top
write_verilog -noexpr -norename synth.v
Write
Preview
Markdown
is supported
0%
Try again
or
attach a new file
Attach a file
Cancel
You are about to add
0
people
to the discussion. Proceed with caution.
Finish editing this message first!
Cancel
Please
register
or
sign in
to comment