Commit 7a5e0b7b by SergeyDegtyar

Fix synth_greenpak4.ys script

parent b2a89977
read_verilog ../top.v read_verilog ../top.v
greenpak4_dffinv
synth_greenpak4 synth_greenpak4
write_verilog synth.v write_verilog synth.v
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment