Commit 5374bf55 by Miodrag Milanovic

Fix memory simulation scripts

parent 813415c7
read_verilog -sv ../top_mem.v read_verilog -sv ../top_mem.v
proc proc
memory_collect
sim -a top sim -a top
read_verilog ../top_mem.v read_verilog ../top_mem.v
proc proc
memory_collect
sim -clock clk top sim -clock clk top
read_verilog ../top_mem.v read_verilog ../top_mem.v
proc proc
memory_collect
sim -clockn clk top sim -clockn clk top
read_verilog -sv ../top_mem.v read_verilog -sv ../top_mem.v
proc proc
memory_collect
sim -d top sim -d top
read_verilog -sv ../top_mem.v read_verilog -sv ../top_mem.v
proc proc
memory_collect
sim top sim top
read_verilog ../top_mem.v read_verilog ../top_mem.v
proc proc
memory_collect
sim -reset we_b top sim -reset we_b top
read_verilog ../top_mem.v read_verilog ../top_mem.v
proc proc
memory_collect
sim -resetn we_a top sim -resetn we_a top
read_verilog -sv ../top_mem.v read_verilog -sv ../top_mem.v
proc proc
memory_collect
sim -rstlen 2 top sim -rstlen 2 top
read_verilog -sv ../top_mem.v read_verilog -sv ../top_mem.v
proc proc
memory_collect
sim -vcd vcd.vcd top sim -vcd vcd.vcd top
read_verilog -sv ../top_mem.v read_verilog -sv ../top_mem.v
proc proc
memory_collect
sim -w top sim -w top
read_verilog -sv ../top_mem.v read_verilog -sv ../top_mem.v
proc proc
memory_collect
sim -w top sim -w top
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment