Skip to content
Projects
Groups
Snippets
Help
This project
Loading...
Sign in / Register
Toggle navigation
Y
yosys-tests
Overview
Overview
Details
Activity
Cycle Analytics
Repository
Repository
Files
Commits
Branches
Tags
Contributors
Graph
Compare
Charts
Issues
0
Issues
0
List
Board
Labels
Milestones
Merge Requests
0
Merge Requests
0
CI / CD
CI / CD
Pipelines
Jobs
Schedules
Charts
Wiki
Wiki
Snippets
Snippets
Members
Members
Collapse sidebar
Close sidebar
Activity
Graph
Charts
Create a new issue
Jobs
Commits
Issue Boards
Open sidebar
lvzhengyang
yosys-tests
Commits
4b912cae
Commit
4b912cae
authored
Apr 03, 2019
by
SergeyDegtyar
Browse files
Options
Browse Files
Download
Email Patches
Plain Diff
Add new tests to simple,misc,architecture
parent
d42079e7
Show whitespace changes
Inline
Side-by-side
Showing
5 changed files
with
32 additions
and
2 deletions
+32
-2
architecture/Makefile
+9
-2
architecture/run.sh
+14
-0
architecture/scripts/synth_greenpak4.ys
+1
-0
misc/Makefile
+3
-0
simple/Makefile
+5
-0
No files found.
architecture/Makefile
View file @
4b912cae
...
@@ -23,21 +23,27 @@ $(eval $(call template,synth_achronix,synth_achronix synth_achronix_top synth_ac
...
@@ -23,21 +23,27 @@ $(eval $(call template,synth_achronix,synth_achronix synth_achronix_top synth_ac
#anlogic
#anlogic
$(eval
$(call
template,synth_anlogic,synth_anlogic
synth_anlogic_top
synth_anlogic_edif
synth_anlogic_json
synth_anlogic_run
synth_anlogic_noflatten
synth_anlogic_retime))
$(eval
$(call
template,synth_anlogic,synth_anlogic
synth_anlogic_top
synth_anlogic_edif
synth_anlogic_json
synth_anlogic_run
synth_anlogic_noflatten
synth_anlogic_retime))
$(eval
$(call
template,synth_anlogic_fulladder,synth_anlogic
synth_anlogic_top
synth_anlogic_edif
synth_anlogic_json
synth_anlogic_run
synth_anlogic_noflatten
synth_anlogic_retime))
$(eval
$(call
template,synth_anlogic_fulladder,synth_anlogic
synth_anlogic_top
synth_anlogic_edif
synth_anlogic_json
synth_anlogic_run
synth_anlogic_noflatten
synth_anlogic_retime))
$(eval
$(call
template,synth_anlogic_mem,synth_anlogic
synth_anlogic_top
synth_anlogic_edif
synth_anlogic_json
synth_anlogic_run
synth_anlogic_noflatten
synth_anlogic_retime
anlogic_determine_init_eqn))
#coolrunner2
#coolrunner2
$(eval
$(call
template,synth_coolrunner2,synth_coolrunner2
synth_coolrunner2_top
synth_coolrunner2_vout
synth_coolrunner2_run
synth_coolrunner2_noflatten
synth_coolrunner2_retime))
$(eval
$(call
template,synth_coolrunner2,synth_coolrunner2
synth_coolrunner2_top
synth_coolrunner2_vout
synth_coolrunner2_run
synth_coolrunner2_noflatten
synth_coolrunner2_retime))
$(eval
$(call
template,synth_coolrunner2_fulladder,synth_coolrunner2
synth_coolrunner2_top
synth_coolrunner2_vout
synth_coolrunner2_run
synth_coolrunner2_noflatten
synth_coolrunner2_retime))
#easic
#easic
#$(eval $(call template,synth_easic,synth_easic synth_easic_top synth_easic_vlog synth_easic_run synth_easic_noflatten synth_easic_retime))
#$(eval $(call template,synth_easic,synth_easic synth_easic_top synth_easic_vlog synth_easic_run synth_easic_noflatten synth_easic_retime))
#ecp5
#ecp5
$(eval
$(call
template,synth_ecp5,synth_ecp5
synth_ecp5_top
synth_ecp5_blif
synth_ecp5_edif
synth_ecp5_json
synth_ecp5_run
synth_ecp5_flatten
synth_ecp5_noflatten
synth_ecp5_retime
synth_ecp5_noccu2
synth_ecp5_nodffe
synth_ecp5_nobram
synth_ecp5_nodram
synth_ecp5_nomux
synth_ecp5_abc2
synth_ecp5_vpr))
$(eval
$(call
template,synth_ecp5,synth_ecp5
synth_ecp5_top
synth_ecp5_blif
synth_ecp5_edif
synth_ecp5_json
synth_ecp5_run
synth_ecp5_flatten
synth_ecp5_noflatten
synth_ecp5_retime
synth_ecp5_noccu2
synth_ecp5_nodffe
synth_ecp5_nobram
synth_ecp5_nodram
synth_ecp5_nomux
synth_ecp5_abc2
synth_ecp5_vpr
ecp5_ffinit))
$(eval
$(call
template,synth_ecp5_wide_ffs,synth_ecp5
synth_ecp5_top
synth_ecp5_blif
synth_ecp5_edif
synth_ecp5_json
synth_ecp5_run
synth_ecp5_flatten
synth_ecp5_noflatten
synth_ecp5_retime
synth_ecp5_noccu2
synth_ecp5_nodffe
synth_ecp5_nobram
synth_ecp5_nodram
synth_ecp5_nomux
synth_ecp5_abc2
synth_ecp5_vpr
ecp5_ffinit))
#gowin
#gowin
$(eval
$(call
template,synth_gowin,synth_gowin
synth_gowin_top
synth_gowin_vout
synth_gowin_run
synth_gowin_retime))
$(eval
$(call
template,synth_gowin,synth_gowin
synth_gowin_top
synth_gowin_vout
synth_gowin_run
synth_gowin_retime
synth_gowin_nobram
synth_gowin_noflatten
))
$(eval
$(call
template,synth_gowin_mem,synth_gowin
synth_gowin_top
synth_gowin_vout
synth_gowin_run
synth_gowin_retime
synth_gowin_nobram
synth_gowin_noflatten
))
#ice40
#ice40
$(eval
$(call
template,synth_ice40,synth_ice40
synth_ice40_top
synth_ice40_blif
synth_ice40_edif
synth_ice40_json
synth_ice40_run
synth_ice40_noflatten
synth_ice40_flatten
synth_ice40_retime
synth_ice40_nocarry
synth_ice40_nodffe
synth_ice40_nobram
synth_ice40_abc2
synth_ice40_vpr))
$(eval
$(call
template,synth_ice40,synth_ice40
synth_ice40_top
synth_ice40_blif
synth_ice40_edif
synth_ice40_json
synth_ice40_run
synth_ice40_noflatten
synth_ice40_flatten
synth_ice40_retime
synth_ice40_nocarry
synth_ice40_nodffe
synth_ice40_nobram
synth_ice40_abc2
synth_ice40_vpr))
$(eval
$(call
template,synth_ice40_mem,synth_ice40
synth_ice40_top
synth_ice40_blif
synth_ice40_edif
synth_ice40_json
synth_ice40_run
synth_ice40_noflatten
synth_ice40_flatten
synth_ice40_retime
synth_ice40_nocarry
synth_ice40_nodffe
synth_ice40_nobram
synth_ice40_abc2
synth_ice40_vpr))
$(eval
$(call
template,synth_ice40_wide_ffs,synth_ice40
synth_ice40_top
synth_ice40_blif
synth_ice40_edif
synth_ice40_json
synth_ice40_run
synth_ice40_noflatten
synth_ice40_flatten
synth_ice40_nocarry
synth_ice40_nodffe
synth_ice40_nobram
synth_ice40_abc2
synth_ice40_vpr))
#intel
#intel
$(eval
$(call
template,synth_intel,synth_intel
synth_intel_top
synth_intel_vqm
synth_intel_vpr
synth_intel_run
synth_intel_noflatten
synth_intel_retime
synth_intel_noiopads
synth_intel_nobram
synth_intel_max10
))
$(eval
$(call
template,synth_intel,synth_intel
synth_intel_top
synth_intel_vqm
synth_intel_vpr
synth_intel_run
synth_intel_noflatten
synth_intel_retime
synth_intel_noiopads
synth_intel_nobram
synth_intel_max10
))
...
@@ -56,5 +62,6 @@ $(eval $(call template,synth_xilinx,synth_xilinx synth_xilinx_top synth_xilinx_b
...
@@ -56,5 +62,6 @@ $(eval $(call template,synth_xilinx,synth_xilinx synth_xilinx_top synth_xilinx_b
#greenpak4
#greenpak4
$(eval
$(call
template,synth_greenpak4,synth_greenpak4
synth_greenpak4_top
synth_greenpak4_json
synth_greenpak4_run
synth_greenpak4_noflatten
synth_greenpak4_retime
synth_greenpak4_part621
synth_greenpak4_part620
synth_greenpak4_part140))
$(eval
$(call
template,synth_greenpak4,synth_greenpak4
synth_greenpak4_top
synth_greenpak4_json
synth_greenpak4_run
synth_greenpak4_noflatten
synth_greenpak4_retime
synth_greenpak4_part621
synth_greenpak4_part620
synth_greenpak4_part140))
$(eval
$(call
template,synth_greenpak4_wide_ffs,synth_greenpak4
synth_greenpak4_top
synth_greenpak4_json
synth_greenpak4_run
synth_greenpak4_noflatten
synth_greenpak4_retime
synth_greenpak4_part621
synth_greenpak4_part620
synth_greenpak4_part140))
.PHONY
:
all clean
.PHONY
:
all clean
architecture/run.sh
View file @
4b912cae
...
@@ -11,18 +11,30 @@ cd $1/work_$2
...
@@ -11,18 +11,30 @@ cd $1/work_$2
yosys
-ql
yosys.log ../../scripts/
$2
.ys
yosys
-ql
yosys.log ../../scripts/
$2
.ys
if
[
"
$1
"
=
"synth_ecp5"
]
;
then
if
[
"
$1
"
=
"synth_ecp5"
]
;
then
iverilog
-o
testbench ../testbench.v synth.v ../../common.v ../../../../../techlibs/common/simcells.v ../../../../../techlibs/ecp5/cells_sim.v
iverilog
-o
testbench ../testbench.v synth.v ../../common.v ../../../../../techlibs/common/simcells.v ../../../../../techlibs/ecp5/cells_sim.v
elif
[
"
$1
"
=
"synth_ecp5_wide_ffs"
]
;
then
iverilog
-o
testbench ../testbench.v synth.v ../../common.v ../../../../../techlibs/common/simcells.v ../../../../../techlibs/ecp5/cells_sim.v
elif
[
"
$1
"
=
"synth_achronix"
]
;
then
elif
[
"
$1
"
=
"synth_achronix"
]
;
then
iverilog
-o
testbench ../testbench.v synth.v ../../common.v ../../../../../techlibs/common/simcells.v ../../../../../techlibs/achronix/speedster22i/cells_sim.v
iverilog
-o
testbench ../testbench.v synth.v ../../common.v ../../../../../techlibs/common/simcells.v ../../../../../techlibs/achronix/speedster22i/cells_sim.v
elif
[
"
$1
"
=
"synth_anlogic"
]
;
then
elif
[
"
$1
"
=
"synth_anlogic"
]
;
then
iverilog
-o
testbench ../testbench.v synth.v ../../common.v ../../../../../techlibs/common/simcells.v ../../../../../techlibs/anlogic/cells_sim.v
iverilog
-o
testbench ../testbench.v synth.v ../../common.v ../../../../../techlibs/common/simcells.v ../../../../../techlibs/anlogic/cells_sim.v
elif
[
"
$1
"
=
"synth_anlogic_fulladder"
]
;
then
elif
[
"
$1
"
=
"synth_anlogic_fulladder"
]
;
then
iverilog
-o
testbench ../testbench.v synth.v ../../common.v ../../../../../techlibs/common/simcells.v ../../../../../techlibs/anlogic/cells_sim.v
iverilog
-o
testbench ../testbench.v synth.v ../../common.v ../../../../../techlibs/common/simcells.v ../../../../../techlibs/anlogic/cells_sim.v
elif
[
"
$1
"
=
"synth_anlogic_mem"
]
;
then
iverilog
-o
testbench ../testbench.v synth.v ../../common.v ../../../../../techlibs/common/simcells.v ../../../../../techlibs/anlogic/cells_sim.v ../../../../../techlibs/anlogic/eagle_bb.v
elif
[
"
$1
"
=
"synth_coolrunner2"
]
;
then
elif
[
"
$1
"
=
"synth_coolrunner2"
]
;
then
iverilog
-o
testbench ../testbench.v synth.v ../../common.v ../../../../../techlibs/common/simcells.v ../../../../../techlibs/coolrunner2/cells_sim.v
iverilog
-o
testbench ../testbench.v synth.v ../../common.v ../../../../../techlibs/common/simcells.v ../../../../../techlibs/coolrunner2/cells_sim.v
elif
[
"
$1
"
=
"synth_coolrunner2_fulladder"
]
;
then
iverilog
-o
testbench ../testbench.v synth.v ../../common.v ../../../../../techlibs/common/simcells.v ../../../../../techlibs/coolrunner2/cells_sim.v
elif
[
"
$1
"
=
"synth_gowin"
]
;
then
elif
[
"
$1
"
=
"synth_gowin"
]
;
then
iverilog
-o
testbench ../testbench.v synth.v ../../common.v ../../../../../techlibs/common/simcells.v ../../../../../techlibs/gowin/cells_sim.v
iverilog
-o
testbench ../testbench.v synth.v ../../common.v ../../../../../techlibs/common/simcells.v ../../../../../techlibs/gowin/cells_sim.v
elif
[
"
$1
"
=
"synth_gowin_mem"
]
;
then
iverilog
-o
testbench ../testbench.v synth.v ../../common.v ../../../../../techlibs/common/simcells.v ../../../../../techlibs/gowin/cells_sim.v
elif
[
"
$1
"
=
"synth_ice40"
]
;
then
elif
[
"
$1
"
=
"synth_ice40"
]
;
then
iverilog
-o
testbench ../testbench.v synth.v ../../common.v ../../../../../techlibs/common/simcells.v ../../../../../techlibs/ice40/cells_sim.v
iverilog
-o
testbench ../testbench.v synth.v ../../common.v ../../../../../techlibs/common/simcells.v ../../../../../techlibs/ice40/cells_sim.v
elif
[
"
$1
"
=
"synth_ice40_mem"
]
;
then
iverilog
-o
testbench ../testbench.v synth.v ../../common.v ../../../../../techlibs/common/simcells.v ../../../../../techlibs/ice40/cells_sim.v
elif
[
"
$1
"
=
"synth_ice40_wide_ffs"
]
;
then
iverilog
-o
testbench ../testbench.v synth.v ../../common.v ../../../../../techlibs/common/simcells.v ../../../../../techlibs/ice40/cells_sim.v
elif
[
"
$1
"
=
"synth_intel"
]
;
then
elif
[
"
$1
"
=
"synth_intel"
]
;
then
iverilog
-o
testbench ../testbench.v synth.v ../../common.v ../../../../../techlibs/common/simcells.v ../../../../../techlibs/intel/max10/cells_sim.v
iverilog
-o
testbench ../testbench.v synth.v ../../common.v ../../../../../techlibs/common/simcells.v ../../../../../techlibs/intel/max10/cells_sim.v
elif
[
"
$1
"
=
"synth_intel_a10gx"
]
;
then
elif
[
"
$1
"
=
"synth_intel_a10gx"
]
;
then
...
@@ -41,6 +53,8 @@ elif [ "$1" = "synth_xilinx" ]; then
...
@@ -41,6 +53,8 @@ elif [ "$1" = "synth_xilinx" ]; then
iverilog
-o
testbench ../testbench.v synth.v ../../common.v ../../../../../techlibs/common/simcells.v ../../../../../techlibs/xilinx/cells_sim.v
iverilog
-o
testbench ../testbench.v synth.v ../../common.v ../../../../../techlibs/common/simcells.v ../../../../../techlibs/xilinx/cells_sim.v
elif
[
"
$1
"
=
"synth_greenpak4"
]
;
then
elif
[
"
$1
"
=
"synth_greenpak4"
]
;
then
iverilog
-o
testbench ../testbench.v synth.v ../../common.v ../../../../../techlibs/common/simcells.v ../../../../../techlibs/greenpak4/cells_sim_digital.v
iverilog
-o
testbench ../testbench.v synth.v ../../common.v ../../../../../techlibs/common/simcells.v ../../../../../techlibs/greenpak4/cells_sim_digital.v
elif
[
"
$1
"
=
"synth_greenpak4_wide_ffs"
]
;
then
iverilog
-o
testbench ../testbench.v synth.v ../../common.v ../../../../../techlibs/common/simcells.v ../../../../../techlibs/greenpak4/cells_sim_digital.v
else
else
iverilog
-o
testbench ../testbench.v synth.v ../../common.v ../../../../../techlibs/common/simcells.v
iverilog
-o
testbench ../testbench.v synth.v ../../common.v ../../../../../techlibs/common/simcells.v
fi
fi
...
...
architecture/scripts/synth_greenpak4.ys
View file @
4b912cae
read_verilog ../top.v
read_verilog ../top.v
greenpak4_dffinv
synth_greenpak4
synth_greenpak4
write_verilog synth.v
write_verilog synth.v
misc/Makefile
View file @
4b912cae
...
@@ -179,5 +179,8 @@ $(eval $(call template,abc, abc_D abc_g_aig abc_g_cmos2 abc_g_simple abc_mux16 a
...
@@ -179,5 +179,8 @@ $(eval $(call template,abc, abc_D abc_g_aig abc_g_cmos2 abc_g_simple abc_mux16 a
$(eval
$(call
template,abc_dff,
abc_D
abc_g_aig
abc_g_cmos2
abc_g_simple
abc_mux16
abc_mux4
abc_mux8
abc_S))
$(eval
$(call
template,abc_dff,
abc_D
abc_g_aig
abc_g_cmos2
abc_g_simple
abc_mux16
abc_mux4
abc_mux8
abc_S))
$(eval
$(call
template,abc_mux,
abc_D
abc_g_aig
abc_g_cmos2
abc_g_simple
abc_mux16
abc_mux4
abc_mux8
abc_S))
$(eval
$(call
template,abc_mux,
abc_D
abc_g_aig
abc_g_cmos2
abc_g_simple
abc_mux16
abc_mux4
abc_mux8
abc_S))
#hilomap
$(eval
$(call
template,hilomap,
hilomap
hilomap_hicell
hilomap_locell
hilomap_singleton
hilomap_hicell_singleton
hilomap_locell_singleton
hilomap_hicell_locell_singleton))
.PHONY
:
all clean
.PHONY
:
all clean
simple/Makefile
View file @
4b912cae
...
@@ -190,5 +190,10 @@ $(eval $(call template,proc_arst_reduce, proc_arst proc_arst_global_rst proc_ar
...
@@ -190,5 +190,10 @@ $(eval $(call template,proc_arst_reduce, proc_arst proc_arst_global_rst proc_ar
$(eval
$(call
template,
submod,
submod
submod_top
submod_copy
submod_name
submod_no_proc
submod_no_hier))
$(eval
$(call
template,
submod,
submod
submod_top
submod_copy
submod_name
submod_no_proc
submod_no_hier))
$(eval
$(call
template,
submod_mem,
submod
submod_top
submod_copy
submod_name
submod_no_proc
submod_no_hier
submod_mem))
$(eval
$(call
template,
submod_mem,
submod
submod_top
submod_copy
submod_name
submod_no_proc
submod_no_hier
submod_mem))
#prep
$(eval
$(call
template,
prep,
prep
prep_top
prep_auto_top
prep_flatten
prep_ifx
prep_memx
prep_nomem
prep_nordff
prep_rdff
prep_nokeepdc
prep_run
prep_run_begin))
#synth
$(eval
$(call
template,
synth,
synth
synth_top
synth_auto_top
synth_encfile
synth_run
synth_run_full
synth_flatten
synth_lut
synth_nofsm
synth_noabc
synth_noabc_lut
synth_noalumacc
synth_nordff
synth_noshare))
.PHONY
:
all clean
.PHONY
:
all clean
Write
Preview
Markdown
is supported
0%
Try again
or
attach a new file
Attach a file
Cancel
You are about to add
0
people
to the discussion. Proceed with caution.
Finish editing this message first!
Cancel
Please
register
or
sign in
to comment