Commit f4543872 by Zachary Snow

partially bump iverilog

parent 9825bb9b
...@@ -41,7 +41,7 @@ jobs: ...@@ -41,7 +41,7 @@ jobs:
- macOS-11 - macOS-11
needs: build needs: build
env: env:
IVERILOG_REF: 999bcb69353db5b38aa348f466e51274a6fb99e2 IVERILOG_REF: 8ee1d56e1acbc130aa63da3c8ef0d535a551cf28
steps: steps:
- uses: actions/checkout@v1 - uses: actions/checkout@v1
- name: Install Dependencies (macOS) - name: Install Dependencies (macOS)
......
...@@ -5,7 +5,9 @@ endmodule ...@@ -5,7 +5,9 @@ endmodule
module top; module top;
logic [1:0] a [3]; logic [1:0] a [3];
logic [1:0] b [3]; logic [1:0] b [3];
logic start;
always_comb a = b; always_comb a = b;
initial start = 0;
logic x; logic x;
logic [1:0] c [3]; logic [1:0] c [3];
......
...@@ -5,7 +5,12 @@ endmodule ...@@ -5,7 +5,12 @@ endmodule
module top; module top;
reg [5:0] a; reg [5:0] a;
wire [5:0] b; wire [5:0] b;
always @(*) a = b; reg start;
always @(*) begin
if (start);
a = b;
end
initial start = 0;
reg x; reg x;
wire [5:0] c; wire [5:0] c;
......
...@@ -9,10 +9,12 @@ module top; ...@@ -9,10 +9,12 @@ module top;
import foo_pkg::*; import foo_pkg::*;
wire [2:0] test; wire [2:0] test;
reg start;
always_comb begin always_comb begin
case (test) case (test)
AccessAck: $display("Ack"); AccessAck: $display("Ack");
default : $display("default"); default : $display("default");
endcase endcase
end end
initial start = 0;
endmodule endmodule
...@@ -2,10 +2,13 @@ module top; ...@@ -2,10 +2,13 @@ module top;
localparam [2:0] AccessAck = 3'd0; localparam [2:0] AccessAck = 3'd0;
wire [2:0] test; wire [2:0] test;
reg start;
always @(*) begin always @(*) begin
if (start);
case (test) case (test)
AccessAck: $display("Ack"); AccessAck: $display("Ack");
default : $display("default"); default : $display("default");
endcase endcase
end end
initial start = 0;
endmodule endmodule
...@@ -126,9 +126,9 @@ module top; ...@@ -126,9 +126,9 @@ module top;
logic [31:0] mux1, mux2, mux3, mux4, mux5, mux6; logic [31:0] mux1, mux2, mux3, mux4, mux5, mux6;
initial $monitor("%b %b %b %b %b %b", mux1, mux2, mux3, mux4, mux5, mux6); initial $monitor("%b %b %b %b %b %b", mux1, mux2, mux3, mux4, mux5, mux6);
assign mux1 = i ? {<<1 {in}} : 32'b0; assign #10 mux1 = i ? {<<1 {in}} : 32'b0;
assign mux2 = i ? {>>1 {in}} : {<<1 {in}}; assign #20 mux2 = i ? {>>1 {in}} : {<<1 {in}};
assign mux3 = i ? {<<1 {in}} : {<<1 {m}}; assign #30 mux3 = i ? {<<1 {in}} : {<<1 {m}};
always @* begin always @* begin
mux4 = i ? {<<1 {in}} : 32'b0; mux4 = i ? {<<1 {in}} : 32'b0;
mux5 = i ? {>>1 {in}} : {<<1 {in}}; mux5 = i ? {>>1 {in}} : {<<1 {in}};
......
...@@ -151,9 +151,9 @@ module top; ...@@ -151,9 +151,9 @@ module top;
wire [31:0] mux1, mux2, mux3; wire [31:0] mux1, mux2, mux3;
reg [31:0] mux4, mux5, mux6; reg [31:0] mux4, mux5, mux6;
initial $monitor("%b %b %b %b %b %b", mux1, mux2, mux3, mux4, mux5, mux6); initial $monitor("%b %b %b %b %b %b", mux1, mux2, mux3, mux4, mux5, mux6);
assign mux1 = i ? reverse(in) : 32'b0; assign #10 mux1 = i ? reverse(in) : 32'b0;
assign mux2 = i ? in << 8 : reverse(in); assign #20 mux2 = i ? in << 8 : reverse(in);
assign mux3 = i ? reverse(in) : reverse(m); assign #30 mux3 = i ? reverse(in) : reverse(m);
always @* begin always @* begin
mux4 = i ? reverse(in) : 32'b0; mux4 = i ? reverse(in) : 32'b0;
mux5 = i ? in << 8 : reverse(in); mux5 = i ? in << 8 : reverse(in);
......
...@@ -5,9 +5,7 @@ module Unpacker(in, select, a, b, c); ...@@ -5,9 +5,7 @@ module Unpacker(in, select, a, b, c);
output wire a; output wire a;
output wire [3:0] b; output wire [3:0] b;
output wire [1:0] c; output wire [1:0] c;
wire [6:0] p; assign a = in[select*7+6];
assign p = in[select*7+:7]; assign b = in[select*7+5-:4];
assign a = p[6:6]; assign c = in[select*7+1-:2];
assign b = p[5:2];
assign c = p[1:0];
endmodule endmodule
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment