Commit d0e3b794 by Zachary Snow

bump iverilog and use explicit named port connections in tests

parent 0f822402
...@@ -41,7 +41,7 @@ jobs: ...@@ -41,7 +41,7 @@ jobs:
- macOS-11 - macOS-11
needs: build needs: build
env: env:
IVERILOG_REF: 6307057e8db8419b422361973aca0b435eb2bde1 IVERILOG_REF: 872ccd32c45cde18855f76d2a87469f7a23612ba
steps: steps:
- uses: actions/checkout@v1 - uses: actions/checkout@v1
- name: Install Dependencies (macOS) - name: Install Dependencies (macOS)
......
...@@ -8,7 +8,7 @@ module top; ...@@ -8,7 +8,7 @@ module top;
forever #1 en = ~en; forever #1 en = ~en;
end end
test m(.a, .b, .en); test m(a, b, en);
initial begin initial begin
$monitor($time, a, b, en); $monitor($time, a, b, en);
......
...@@ -39,7 +39,7 @@ module top; ...@@ -39,7 +39,7 @@ module top;
genvar j; genvar j;
for (j = 0; j < N - 1; j = j + 1) begin : clients for (j = 0; j < N - 1; j = j + 1) begin : clients
ClientTick #(j, j + 1) client( ClientTick #(j, j + 1) client(
.clock, .clock(clock),
.client_req(intf.req[j + 1]) .client_req(intf.req[j + 1])
); );
end end
......
module top; module top;
reg [1:0] sel; reg [1:0] sel;
wire [7:0] out; wire [7:0] out;
example e(.sel, .out); example e(sel, out);
integer i = 0; integer i = 0;
initial initial
for (i = 0; i < 10; i = i + 1) for (i = 0; i < 10; i = i + 1)
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment