Commit 6ffa31ff by Zachary Snow

small coverage improvements

parent cd7b53c6
...@@ -52,7 +52,7 @@ traverseDescriptionM other = return other ...@@ -52,7 +52,7 @@ traverseDescriptionM other = return other
-- utility pattern for candidate string parameter items -- utility pattern for candidate string parameter items
pattern StringParam :: Identifier -> String -> ModuleItem pattern StringParam :: Identifier -> String -> ModuleItem
pattern StringParam x s = pattern StringParam x s <-
MIPackageItem (Decl (Param Parameter UnknownType x (String s))) MIPackageItem (Decl (Param Parameter UnknownType x (String s)))
-- write down which parameters may be variable-length strings -- write down which parameters may be variable-length strings
......
package P;
localparam X = 1;
endpackage
package Q;
export P::X;
import P::X;
endpackage
module top;
initial $display(Q::X);
endmodule
module top;
initial $display(1);
endmodule
module top;
// 😬
initial $display("Hi!");
endmodule
module top; module top;
export "DPI-C" task t;
/* From IEEE 1800-2017 Section 35.4 */ /* From IEEE 1800-2017 Section 35.4 */
export "DPI-C" f_plus = function \f+ ; // "f+" exported as "f_plus" export "DPI-C" f_plus = function \f+ ; // "f+" exported as "f_plus"
......
extern module foo(input x, output y);
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment