Commit 5b5bed8c by Zachary Snow

fix dev iverilog test incompatibilities

parent dce7492c
module top; module top;
genvar i; genvar i;
generate generate
for (i = 0; i < 32; i = i + 1) for (i = 1; i < 32; i = i + 1)
mod #(i) m(); mod #(i) m();
endgenerate endgenerate
endmodule endmodule
...@@ -9,6 +9,6 @@ module top; ...@@ -9,6 +9,6 @@ module top;
#1; #1;
#10; a = 'h5; #10; a = 'h5;
#10; #10;
$finish; $finish(0);
end end
endmodule endmodule
...@@ -9,6 +9,6 @@ module top; ...@@ -9,6 +9,6 @@ module top;
#1; #1;
#10; a = 'h5; #10; a = 'h5;
#10; #10;
$finish; $finish(0);
end end
endmodule endmodule
...@@ -35,6 +35,6 @@ module top; ...@@ -35,6 +35,6 @@ module top;
initial begin initial begin
$monitor("%b %b %b %b", b.index, b.clock, b.inp, b.out); $monitor("%b %b %b %b", b.index, b.clock, b.inp, b.out);
#100; #100;
$finish; $finish(0);
end end
endmodule endmodule
...@@ -23,7 +23,7 @@ module impl; ...@@ -23,7 +23,7 @@ module impl;
initial begin initial begin
$monitor("%b %b %b %b", b_index, b_clock, b_inp, b_out); $monitor("%b %b %b %b", b_index, b_clock, b_inp, b_out);
#100; #100;
$finish; $finish(0);
end end
endmodule endmodule
......
...@@ -48,6 +48,6 @@ module top; ...@@ -48,6 +48,6 @@ module top;
initial begin initial begin
$monitor("%0d %b %b", $time, clock, intf.req); $monitor("%0d %b %b", $time, clock, intf.req);
#100 $finish; #100 $finish(0);
end end
endmodule endmodule
...@@ -52,6 +52,6 @@ module top; ...@@ -52,6 +52,6 @@ module top;
initial begin initial begin
$monitor("%0d %b %b", $time, clock, intf.req); $monitor("%0d %b %b", $time, clock, intf.req);
#100 $finish; #100 $finish(0);
end end
endmodule endmodule
...@@ -112,7 +112,7 @@ module top; ...@@ -112,7 +112,7 @@ module top;
break; break;
$display("UNREACHABLE ", `__LINE__); $display("UNREACHABLE ", `__LINE__);
end end
initial #5 $finish; initial #5 $finish(0);
initial begin initial begin
for (int unsigned i = 0; i < 5; ++i) begin for (int unsigned i = 0; i < 5; ++i) begin
......
...@@ -87,7 +87,7 @@ module top; ...@@ -87,7 +87,7 @@ module top;
i = 10; i = 10;
end end
end end
initial #5 $finish; initial #5 $finish(0);
initial begin : loops_de initial begin : loops_de
reg unsigned [31:0] i; reg unsigned [31:0] i;
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment