Commit 024e51a1 by sakundu

Updated def and flow scripts for ASAP7

Signed-off-by: sakundu <sakundu@ucsd.edu>
parent 2459fd35
This source diff could not be displayed because it is too large. You can view the blob instead.
......@@ -2715,1489 +2715,1489 @@ END COMPONENTS
PINS 495 ;
- clk_i + NET clk_i + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 85695 ) E ;
+ FIXED ( 0 85695 ) E ;
- rst_ni + NET rst_ni + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 147279 ) E ;
+ FIXED ( 0 147279 ) E ;
- boot_addr_i[63] + NET boot_addr_i[63] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 80607 ) E ;
+ FIXED ( 0 80607 ) E ;
- boot_addr_i[62] + NET boot_addr_i[62] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 80463 ) E ;
+ FIXED ( 0 80463 ) E ;
- boot_addr_i[61] + NET boot_addr_i[61] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 79311 ) E ;
+ FIXED ( 0 79311 ) E ;
- boot_addr_i[60] + NET boot_addr_i[60] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 79407 ) E ;
+ FIXED ( 0 79407 ) E ;
- boot_addr_i[59] + NET boot_addr_i[59] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 78927 ) E ;
+ FIXED ( 0 78927 ) E ;
- boot_addr_i[58] + NET boot_addr_i[58] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 79119 ) E ;
+ FIXED ( 0 79119 ) E ;
- boot_addr_i[57] + NET boot_addr_i[57] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 78783 ) E ;
+ FIXED ( 0 78783 ) E ;
- boot_addr_i[56] + NET boot_addr_i[56] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 79268 ) E ;
+ FIXED ( 0 79268 ) E ;
- boot_addr_i[55] + NET boot_addr_i[55] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 79743 ) E ;
+ FIXED ( 0 79743 ) E ;
- boot_addr_i[54] + NET boot_addr_i[54] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 79215 ) E ;
+ FIXED ( 0 79215 ) E ;
- boot_addr_i[53] + NET boot_addr_i[53] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 79140 ) E ;
+ FIXED ( 0 79140 ) E ;
- boot_addr_i[52] + NET boot_addr_i[52] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 79983 ) E ;
+ FIXED ( 0 79983 ) E ;
- boot_addr_i[51] + NET boot_addr_i[51] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 80420 ) E ;
+ FIXED ( 0 80420 ) E ;
- boot_addr_i[50] + NET boot_addr_i[50] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 80847 ) E ;
+ FIXED ( 0 80847 ) E ;
- boot_addr_i[49] + NET boot_addr_i[49] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 81423 ) E ;
+ FIXED ( 0 81423 ) E ;
- boot_addr_i[48] + NET boot_addr_i[48] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 81711 ) E ;
+ FIXED ( 0 81711 ) E ;
- boot_addr_i[47] + NET boot_addr_i[47] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 81951 ) E ;
+ FIXED ( 0 81951 ) E ;
- boot_addr_i[46] + NET boot_addr_i[46] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 83247 ) E ;
+ FIXED ( 0 83247 ) E ;
- boot_addr_i[45] + NET boot_addr_i[45] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 83343 ) E ;
+ FIXED ( 0 83343 ) E ;
- boot_addr_i[44] + NET boot_addr_i[44] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 84447 ) E ;
+ FIXED ( 0 84447 ) E ;
- boot_addr_i[43] + NET boot_addr_i[43] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 84591 ) E ;
+ FIXED ( 0 84591 ) E ;
- boot_addr_i[42] + NET boot_addr_i[42] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 84831 ) E ;
+ FIXED ( 0 84831 ) E ;
- boot_addr_i[41] + NET boot_addr_i[41] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 85215 ) E ;
+ FIXED ( 0 85215 ) E ;
- boot_addr_i[40] + NET boot_addr_i[40] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 85599 ) E ;
+ FIXED ( 0 85599 ) E ;
- boot_addr_i[39] + NET boot_addr_i[39] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 85983 ) E ;
+ FIXED ( 0 85983 ) E ;
- boot_addr_i[38] + NET boot_addr_i[38] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 86655 ) E ;
+ FIXED ( 0 86655 ) E ;
- boot_addr_i[37] + NET boot_addr_i[37] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 87663 ) E ;
+ FIXED ( 0 87663 ) E ;
- boot_addr_i[36] + NET boot_addr_i[36] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 88575 ) E ;
+ FIXED ( 0 88575 ) E ;
- boot_addr_i[35] + NET boot_addr_i[35] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 89439 ) E ;
+ FIXED ( 0 89439 ) E ;
- boot_addr_i[34] + NET boot_addr_i[34] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 90015 ) E ;
+ FIXED ( 0 90015 ) E ;
- boot_addr_i[33] + NET boot_addr_i[33] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 89583 ) E ;
+ FIXED ( 0 89583 ) E ;
- boot_addr_i[32] + NET boot_addr_i[32] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 91599 ) E ;
+ FIXED ( 0 91599 ) E ;
- boot_addr_i[31] + NET boot_addr_i[31] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 93327 ) E ;
+ FIXED ( 0 93327 ) E ;
- boot_addr_i[30] + NET boot_addr_i[30] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 92367 ) E ;
+ FIXED ( 0 92367 ) E ;
- boot_addr_i[29] + NET boot_addr_i[29] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 92559 ) E ;
+ FIXED ( 0 92559 ) E ;
- boot_addr_i[28] + NET boot_addr_i[28] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 95583 ) E ;
+ FIXED ( 0 95583 ) E ;
- boot_addr_i[27] + NET boot_addr_i[27] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 98703 ) E ;
+ FIXED ( 0 98703 ) E ;
- boot_addr_i[26] + NET boot_addr_i[26] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 99231 ) E ;
+ FIXED ( 0 99231 ) E ;
- boot_addr_i[25] + NET boot_addr_i[25] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 99759 ) E ;
+ FIXED ( 0 99759 ) E ;
- boot_addr_i[24] + NET boot_addr_i[24] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 100095 ) E ;
+ FIXED ( 0 100095 ) E ;
- boot_addr_i[23] + NET boot_addr_i[23] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 99236 ) E ;
+ FIXED ( 0 99236 ) E ;
- boot_addr_i[22] + NET boot_addr_i[22] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 99903 ) E ;
+ FIXED ( 0 99903 ) E ;
- boot_addr_i[21] + NET boot_addr_i[21] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 99519 ) E ;
+ FIXED ( 0 99519 ) E ;
- boot_addr_i[20] + NET boot_addr_i[20] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 99423 ) E ;
+ FIXED ( 0 99423 ) E ;
- boot_addr_i[19] + NET boot_addr_i[19] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 99748 ) E ;
+ FIXED ( 0 99748 ) E ;
- boot_addr_i[18] + NET boot_addr_i[18] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 99876 ) E ;
+ FIXED ( 0 99876 ) E ;
- boot_addr_i[17] + NET boot_addr_i[17] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 99556 ) E ;
+ FIXED ( 0 99556 ) E ;
- boot_addr_i[16] + NET boot_addr_i[16] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 99327 ) E ;
+ FIXED ( 0 99327 ) E ;
- boot_addr_i[15] + NET boot_addr_i[15] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 98799 ) E ;
+ FIXED ( 0 98799 ) E ;
- boot_addr_i[14] + NET boot_addr_i[14] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 98895 ) E ;
+ FIXED ( 0 98895 ) E ;
- boot_addr_i[13] + NET boot_addr_i[13] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 99135 ) E ;
+ FIXED ( 0 99135 ) E ;
- boot_addr_i[12] + NET boot_addr_i[12] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 98852 ) E ;
+ FIXED ( 0 98852 ) E ;
- boot_addr_i[11] + NET boot_addr_i[11] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 98607 ) E ;
+ FIXED ( 0 98607 ) E ;
- boot_addr_i[10] + NET boot_addr_i[10] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 98175 ) E ;
+ FIXED ( 0 98175 ) E ;
- boot_addr_i[9] + NET boot_addr_i[9] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 98148 ) E ;
+ FIXED ( 0 98148 ) E ;
- boot_addr_i[8] + NET boot_addr_i[8] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 97791 ) E ;
+ FIXED ( 0 97791 ) E ;
- boot_addr_i[7] + NET boot_addr_i[7] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 97263 ) E ;
+ FIXED ( 0 97263 ) E ;
- boot_addr_i[6] + NET boot_addr_i[6] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 97167 ) E ;
+ FIXED ( 0 97167 ) E ;
- boot_addr_i[5] + NET boot_addr_i[5] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 97071 ) E ;
+ FIXED ( 0 97071 ) E ;
- boot_addr_i[4] + NET boot_addr_i[4] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 97359 ) E ;
+ FIXED ( 0 97359 ) E ;
- boot_addr_i[3] + NET boot_addr_i[3] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 97551 ) E ;
+ FIXED ( 0 97551 ) E ;
- boot_addr_i[2] + NET boot_addr_i[2] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 96015 ) E ;
+ FIXED ( 0 96015 ) E ;
- boot_addr_i[1] + NET boot_addr_i[1] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 95524 ) E ;
+ FIXED ( 0 95524 ) E ;
- boot_addr_i[0] + NET boot_addr_i[0] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 97887 ) E ;
+ FIXED ( 0 97887 ) E ;
- hart_id_i[63] + NET hart_id_i[63] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 98596 ) E ;
+ FIXED ( 0 98596 ) E ;
- hart_id_i[62] + NET hart_id_i[62] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 93855 ) E ;
+ FIXED ( 0 93855 ) E ;
- hart_id_i[61] + NET hart_id_i[61] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 91695 ) E ;
+ FIXED ( 0 91695 ) E ;
- hart_id_i[60] + NET hart_id_i[60] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 77151 ) E ;
+ FIXED ( 0 77151 ) E ;
- hart_id_i[59] + NET hart_id_i[59] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 89444 ) E ;
+ FIXED ( 0 89444 ) E ;
- hart_id_i[58] + NET hart_id_i[58] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 86223 ) E ;
+ FIXED ( 0 86223 ) E ;
- hart_id_i[57] + NET hart_id_i[57] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 77156 ) E ;
+ FIXED ( 0 77156 ) E ;
- hart_id_i[56] + NET hart_id_i[56] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 85156 ) E ;
+ FIXED ( 0 85156 ) E ;
- hart_id_i[55] + NET hart_id_i[55] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 88479 ) E ;
+ FIXED ( 0 88479 ) E ;
- hart_id_i[54] + NET hart_id_i[54] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 84063 ) E ;
+ FIXED ( 0 84063 ) E ;
- hart_id_i[53] + NET hart_id_i[53] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 77055 ) E ;
+ FIXED ( 0 77055 ) E ;
- hart_id_i[52] + NET hart_id_i[52] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 90543 ) E ;
+ FIXED ( 0 90543 ) E ;
- hart_id_i[51] + NET hart_id_i[51] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 91071 ) E ;
+ FIXED ( 0 91071 ) E ;
- hart_id_i[50] + NET hart_id_i[50] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 90532 ) E ;
+ FIXED ( 0 90532 ) E ;
- hart_id_i[49] + NET hart_id_i[49] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 90111 ) E ;
+ FIXED ( 0 90111 ) E ;
- hart_id_i[48] + NET hart_id_i[48] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 90639 ) E ;
+ FIXED ( 0 90639 ) E ;
- hart_id_i[47] + NET hart_id_i[47] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 89572 ) E ;
+ FIXED ( 0 89572 ) E ;
- hart_id_i[46] + NET hart_id_i[46] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 90020 ) E ;
+ FIXED ( 0 90020 ) E ;
- hart_id_i[45] + NET hart_id_i[45] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 89919 ) E ;
+ FIXED ( 0 89919 ) E ;
- hart_id_i[44] + NET hart_id_i[44] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 92271 ) E ;
+ FIXED ( 0 92271 ) E ;
- hart_id_i[43] + NET hart_id_i[43] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 93348 ) E ;
+ FIXED ( 0 93348 ) E ;
- hart_id_i[42] + NET hart_id_i[42] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 92175 ) E ;
+ FIXED ( 0 92175 ) E ;
- hart_id_i[41] + NET hart_id_i[41] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 92196 ) E ;
+ FIXED ( 0 92196 ) E ;
- hart_id_i[40] + NET hart_id_i[40] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 92079 ) E ;
+ FIXED ( 0 92079 ) E ;
- hart_id_i[39] + NET hart_id_i[39] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 91748 ) E ;
+ FIXED ( 0 91748 ) E ;
- hart_id_i[38] + NET hart_id_i[38] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 92324 ) E ;
+ FIXED ( 0 92324 ) E ;
- hart_id_i[37] + NET hart_id_i[37] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 91215 ) E ;
+ FIXED ( 0 91215 ) E ;
- hart_id_i[36] + NET hart_id_i[36] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 91791 ) E ;
+ FIXED ( 0 91791 ) E ;
- hart_id_i[35] + NET hart_id_i[35] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 98079 ) E ;
+ FIXED ( 0 98079 ) E ;
- hart_id_i[34] + NET hart_id_i[34] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 94959 ) E ;
+ FIXED ( 0 94959 ) E ;
- hart_id_i[33] + NET hart_id_i[33] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 100239 ) E ;
+ FIXED ( 0 100239 ) E ;
- hart_id_i[32] + NET hart_id_i[32] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 99108 ) E ;
+ FIXED ( 0 99108 ) E ;
- hart_id_i[31] + NET hart_id_i[31] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 101871 ) E ;
+ FIXED ( 0 101871 ) E ;
- hart_id_i[30] + NET hart_id_i[30] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 104607 ) E ;
+ FIXED ( 0 104607 ) E ;
- hart_id_i[29] + NET hart_id_i[29] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 103071 ) E ;
+ FIXED ( 0 103071 ) E ;
- hart_id_i[28] + NET hart_id_i[28] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 100383 ) E ;
+ FIXED ( 0 100383 ) E ;
- hart_id_i[27] + NET hart_id_i[27] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 111615 ) E ;
+ FIXED ( 0 111615 ) E ;
- hart_id_i[26] + NET hart_id_i[26] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 111711 ) E ;
+ FIXED ( 0 111711 ) E ;
- hart_id_i[25] + NET hart_id_i[25] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 110079 ) E ;
+ FIXED ( 0 110079 ) E ;
- hart_id_i[24] + NET hart_id_i[24] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 111588 ) E ;
+ FIXED ( 0 111588 ) E ;
- hart_id_i[23] + NET hart_id_i[23] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 111716 ) E ;
+ FIXED ( 0 111716 ) E ;
- hart_id_i[22] + NET hart_id_i[22] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 112239 ) E ;
+ FIXED ( 0 112239 ) E ;
- hart_id_i[21] + NET hart_id_i[21] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 112767 ) E ;
+ FIXED ( 0 112767 ) E ;
- hart_id_i[20] + NET hart_id_i[20] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 112804 ) E ;
+ FIXED ( 0 112804 ) E ;
- hart_id_i[19] + NET hart_id_i[19] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 113775 ) E ;
+ FIXED ( 0 113775 ) E ;
- hart_id_i[18] + NET hart_id_i[18] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 112863 ) E ;
+ FIXED ( 0 112863 ) E ;
- hart_id_i[17] + NET hart_id_i[17] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 112143 ) E ;
+ FIXED ( 0 112143 ) E ;
- hart_id_i[16] + NET hart_id_i[16] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 112671 ) E ;
+ FIXED ( 0 112671 ) E ;
- hart_id_i[15] + NET hart_id_i[15] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 112164 ) E ;
+ FIXED ( 0 112164 ) E ;
- hart_id_i[14] + NET hart_id_i[14] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 112676 ) E ;
+ FIXED ( 0 112676 ) E ;
- hart_id_i[13] + NET hart_id_i[13] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 112292 ) E ;
+ FIXED ( 0 112292 ) E ;
- hart_id_i[12] + NET hart_id_i[12] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 113343 ) E ;
+ FIXED ( 0 113343 ) E ;
- hart_id_i[11] + NET hart_id_i[11] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 104031 ) E ;
+ FIXED ( 0 104031 ) E ;
- hart_id_i[10] + NET hart_id_i[10] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 104036 ) E ;
+ FIXED ( 0 104036 ) E ;
- hart_id_i[9] + NET hart_id_i[9] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 108879 ) E ;
+ FIXED ( 0 108879 ) E ;
- hart_id_i[8] + NET hart_id_i[8] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 106863 ) E ;
+ FIXED ( 0 106863 ) E ;
- hart_id_i[7] + NET hart_id_i[7] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 106852 ) E ;
+ FIXED ( 0 106852 ) E ;
- hart_id_i[6] + NET hart_id_i[6] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 107391 ) E ;
+ FIXED ( 0 107391 ) E ;
- hart_id_i[5] + NET hart_id_i[5] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 111183 ) E ;
+ FIXED ( 0 111183 ) E ;
- hart_id_i[4] + NET hart_id_i[4] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 112047 ) E ;
+ FIXED ( 0 112047 ) E ;
- hart_id_i[3] + NET hart_id_i[3] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 108447 ) E ;
+ FIXED ( 0 108447 ) E ;
- hart_id_i[2] + NET hart_id_i[2] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 111807 ) E ;
+ FIXED ( 0 111807 ) E ;
- hart_id_i[1] + NET hart_id_i[1] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 107295 ) E ;
+ FIXED ( 0 107295 ) E ;
- hart_id_i[0] + NET hart_id_i[0] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 113871 ) E ;
+ FIXED ( 0 113871 ) E ;
- irq_i[1] + NET irq_i[1] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 110703 ) E ;
+ FIXED ( 0 110703 ) E ;
- irq_i[0] + NET irq_i[0] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 101860 ) E ;
+ FIXED ( 0 101860 ) E ;
- ipi_i + NET ipi_i + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 97647 ) E ;
+ FIXED ( 0 97647 ) E ;
- time_irq_i + NET time_irq_i + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 100815 ) E ;
+ FIXED ( 0 100815 ) E ;
- debug_req_i + NET debug_req_i + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 128991 ) E ;
+ FIXED ( 0 128991 ) E ;
- axi_req_o[277] + NET axi_req_o[277] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 167439 ) E ;
+ FIXED ( 0 167439 ) E ;
- axi_req_o[276] + NET axi_req_o[276] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 172527 ) E ;
+ FIXED ( 0 172527 ) E ;
- axi_req_o[275] + NET axi_req_o[275] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 175119 ) E ;
+ FIXED ( 0 175119 ) E ;
- axi_req_o[274] + NET axi_req_o[274] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 175140 ) E ;
+ FIXED ( 0 175140 ) E ;
- axi_req_o[273] + NET axi_req_o[273] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 168399 ) E ;
+ FIXED ( 0 168399 ) E ;
- axi_req_o[272] + NET axi_req_o[272] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 169359 ) E ;
+ FIXED ( 0 169359 ) E ;
- axi_req_o[271] + NET axi_req_o[271] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 168420 ) E ;
+ FIXED ( 0 168420 ) E ;
- axi_req_o[270] + NET axi_req_o[270] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 168303 ) E ;
+ FIXED ( 0 168303 ) E ;
- axi_req_o[269] + NET axi_req_o[269] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 168495 ) E ;
+ FIXED ( 0 168495 ) E ;
- axi_req_o[268] + NET axi_req_o[268] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 168927 ) E ;
+ FIXED ( 0 168927 ) E ;
- axi_req_o[267] + NET axi_req_o[267] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 168292 ) E ;
+ FIXED ( 0 168292 ) E ;
- axi_req_o[266] + NET axi_req_o[266] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 168548 ) E ;
+ FIXED ( 0 168548 ) E ;
- axi_req_o[265] + NET axi_req_o[265] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 171567 ) E ;
+ FIXED ( 0 171567 ) E ;
- axi_req_o[264] + NET axi_req_o[264] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 170031 ) E ;
+ FIXED ( 0 170031 ) E ;
- axi_req_o[263] + NET axi_req_o[263] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 172191 ) E ;
+ FIXED ( 0 172191 ) E ;
- axi_req_o[262] + NET axi_req_o[262] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 171620 ) E ;
+ FIXED ( 0 171620 ) E ;
- axi_req_o[261] + NET axi_req_o[261] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 170463 ) E ;
+ FIXED ( 0 170463 ) E ;
- axi_req_o[260] + NET axi_req_o[260] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 171471 ) E ;
+ FIXED ( 0 171471 ) E ;
- axi_req_o[259] + NET axi_req_o[259] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 170559 ) E ;
+ FIXED ( 0 170559 ) E ;
- axi_req_o[258] + NET axi_req_o[258] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 170991 ) E ;
+ FIXED ( 0 170991 ) E ;
- axi_req_o[257] + NET axi_req_o[257] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 170532 ) E ;
+ FIXED ( 0 170532 ) E ;
- axi_req_o[256] + NET axi_req_o[256] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 170655 ) E ;
+ FIXED ( 0 170655 ) E ;
- axi_req_o[255] + NET axi_req_o[255] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 170020 ) E ;
+ FIXED ( 0 170020 ) E ;
- axi_req_o[254] + NET axi_req_o[254] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 171087 ) E ;
+ FIXED ( 0 171087 ) E ;
- axi_req_o[253] + NET axi_req_o[253] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 172095 ) E ;
+ FIXED ( 0 172095 ) E ;
- axi_req_o[252] + NET axi_req_o[252] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 172623 ) E ;
+ FIXED ( 0 172623 ) E ;
- axi_req_o[251] + NET axi_req_o[251] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 170980 ) E ;
+ FIXED ( 0 170980 ) E ;
- axi_req_o[250] + NET axi_req_o[250] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 171108 ) E ;
+ FIXED ( 0 171108 ) E ;
- axi_req_o[249] + NET axi_req_o[249] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 171183 ) E ;
+ FIXED ( 0 171183 ) E ;
- axi_req_o[248] + NET axi_req_o[248] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 170895 ) E ;
+ FIXED ( 0 170895 ) E ;
- axi_req_o[247] + NET axi_req_o[247] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 170660 ) E ;
+ FIXED ( 0 170660 ) E ;
- axi_req_o[246] + NET axi_req_o[246] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 170404 ) E ;
+ FIXED ( 0 170404 ) E ;
- axi_req_o[245] + NET axi_req_o[245] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 170367 ) E ;
+ FIXED ( 0 170367 ) E ;
- axi_req_o[244] + NET axi_req_o[244] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 171236 ) E ;
+ FIXED ( 0 171236 ) E ;
- axi_req_o[243] + NET axi_req_o[243] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 172196 ) E ;
+ FIXED ( 0 172196 ) E ;
- axi_req_o[242] + NET axi_req_o[242] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 169935 ) E ;
+ FIXED ( 0 169935 ) E ;
- axi_req_o[241] + NET axi_req_o[241] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 170271 ) E ;
+ FIXED ( 0 170271 ) E ;
- axi_req_o[240] + NET axi_req_o[240] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 170852 ) E ;
+ FIXED ( 0 170852 ) E ;
- axi_req_o[239] + NET axi_req_o[239] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 172287 ) E ;
+ FIXED ( 0 172287 ) E ;
- axi_req_o[238] + NET axi_req_o[238] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 171492 ) E ;
+ FIXED ( 0 171492 ) E ;
- axi_req_o[237] + NET axi_req_o[237] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 175311 ) E ;
+ FIXED ( 0 175311 ) E ;
- axi_req_o[236] + NET axi_req_o[236] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 174879 ) E ;
+ FIXED ( 0 174879 ) E ;
- axi_req_o[235] + NET axi_req_o[235] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 173151 ) E ;
+ FIXED ( 0 173151 ) E ;
- axi_req_o[234] + NET axi_req_o[234] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 175407 ) E ;
+ FIXED ( 0 175407 ) E ;
- axi_req_o[233] + NET axi_req_o[233] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 174255 ) E ;
+ FIXED ( 0 174255 ) E ;
- axi_req_o[232] + NET axi_req_o[232] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 174884 ) E ;
+ FIXED ( 0 174884 ) E ;
- axi_req_o[231] + NET axi_req_o[231] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 175887 ) E ;
+ FIXED ( 0 175887 ) E ;
- axi_req_o[230] + NET axi_req_o[230] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 174783 ) E ;
+ FIXED ( 0 174783 ) E ;
- axi_req_o[229] + NET axi_req_o[229] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 174975 ) E ;
+ FIXED ( 0 174975 ) E ;
- axi_req_o[228] + NET axi_req_o[228] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 175844 ) E ;
+ FIXED ( 0 175844 ) E ;
- axi_req_o[227] + NET axi_req_o[227] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 175791 ) E ;
+ FIXED ( 0 175791 ) E ;
- axi_req_o[226] + NET axi_req_o[226] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 173727 ) E ;
+ FIXED ( 0 173727 ) E ;
- axi_req_o[225] + NET axi_req_o[225] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 174244 ) E ;
+ FIXED ( 0 174244 ) E ;
- axi_req_o[224] + NET axi_req_o[224] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 174756 ) E ;
+ FIXED ( 0 174756 ) E ;
- axi_req_o[223] + NET axi_req_o[223] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 174687 ) E ;
+ FIXED ( 0 174687 ) E ;
- axi_req_o[222] + NET axi_req_o[222] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 175332 ) E ;
+ FIXED ( 0 175332 ) E ;
- axi_req_o[221] + NET axi_req_o[221] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 172068 ) E ;
+ FIXED ( 0 172068 ) E ;
- axi_req_o[220] + NET axi_req_o[220] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 172324 ) E ;
+ FIXED ( 0 172324 ) E ;
- axi_req_o[219] + NET axi_req_o[219] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 171999 ) E ;
+ FIXED ( 0 171999 ) E ;
- axi_req_o[218] + NET axi_req_o[218] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 172644 ) E ;
+ FIXED ( 0 172644 ) E ;
- axi_req_o[217] + NET axi_req_o[217] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 172719 ) E ;
+ FIXED ( 0 172719 ) E ;
- axi_req_o[216] + NET axi_req_o[216] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 171940 ) E ;
+ FIXED ( 0 171940 ) E ;
- axi_req_o[215] + NET axi_req_o[215] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 172383 ) E ;
+ FIXED ( 0 172383 ) E ;
- axi_req_o[214] + NET axi_req_o[214] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 171279 ) E ;
+ FIXED ( 0 171279 ) E ;
- axi_req_o[213] + NET axi_req_o[213] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 175215 ) E ;
+ FIXED ( 0 175215 ) E ;
- axi_req_o[212] + NET axi_req_o[212] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 182991 ) E ;
+ FIXED ( 0 182991 ) E ;
- axi_req_o[211] + NET axi_req_o[211] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 182367 ) E ;
+ FIXED ( 0 182367 ) E ;
- axi_req_o[210] + NET axi_req_o[210] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 181887 ) E ;
+ FIXED ( 0 181887 ) E ;
- axi_req_o[209] + NET axi_req_o[209] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 159999 ) E ;
+ FIXED ( 0 159999 ) E ;
- axi_req_o[208] + NET axi_req_o[208] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 159972 ) E ;
+ FIXED ( 0 159972 ) E ;
- axi_req_o[207] + NET axi_req_o[207] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 160095 ) E ;
+ FIXED ( 0 160095 ) E ;
- axi_req_o[206] + NET axi_req_o[206] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 160100 ) E ;
+ FIXED ( 0 160100 ) E ;
- axi_req_o[205] + NET axi_req_o[205] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 159903 ) E ;
+ FIXED ( 0 159903 ) E ;
- axi_req_o[204] + NET axi_req_o[204] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 159844 ) E ;
+ FIXED ( 0 159844 ) E ;
- axi_req_o[203] + NET axi_req_o[203] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 160191 ) E ;
+ FIXED ( 0 160191 ) E ;
- axi_req_o[202] + NET axi_req_o[202] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 168831 ) E ;
+ FIXED ( 0 168831 ) E ;
- axi_req_o[201] + NET axi_req_o[201] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 159807 ) E ;
+ FIXED ( 0 159807 ) E ;
- axi_req_o[200] + NET axi_req_o[200] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 183519 ) E ;
+ FIXED ( 0 183519 ) E ;
- axi_req_o[199] + NET axi_req_o[199] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 182948 ) E ;
+ FIXED ( 0 182948 ) E ;
- axi_req_o[198] + NET axi_req_o[198] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 160228 ) E ;
+ FIXED ( 0 160228 ) E ;
- axi_req_o[197] + NET axi_req_o[197] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 174351 ) E ;
+ FIXED ( 0 174351 ) E ;
- axi_req_o[196] + NET axi_req_o[196] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 160287 ) E ;
+ FIXED ( 0 160287 ) E ;
- axi_req_o[195] + NET axi_req_o[195] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 159711 ) E ;
+ FIXED ( 0 159711 ) E ;
- axi_req_o[194] + NET axi_req_o[194] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 159716 ) E ;
+ FIXED ( 0 159716 ) E ;
- axi_req_o[193] + NET axi_req_o[193] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 160356 ) E ;
+ FIXED ( 0 160356 ) E ;
- axi_req_o[192] + NET axi_req_o[192] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 160383 ) E ;
+ FIXED ( 0 160383 ) E ;
- axi_req_o[191] + NET axi_req_o[191] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 159615 ) E ;
+ FIXED ( 0 159615 ) E ;
- axi_req_o[190] + NET axi_req_o[190] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 159588 ) E ;
+ FIXED ( 0 159588 ) E ;
- axi_req_o[189] + NET axi_req_o[189] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 160479 ) E ;
+ FIXED ( 0 160479 ) E ;
- axi_req_o[188] + NET axi_req_o[188] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 160484 ) E ;
+ FIXED ( 0 160484 ) E ;
- axi_req_o[187] + NET axi_req_o[187] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 159519 ) E ;
+ FIXED ( 0 159519 ) E ;
- axi_req_o[186] + NET axi_req_o[186] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 159460 ) E ;
+ FIXED ( 0 159460 ) E ;
- axi_req_o[185] + NET axi_req_o[185] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 160575 ) E ;
+ FIXED ( 0 160575 ) E ;
- axi_req_o[184] + NET axi_req_o[184] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 159423 ) E ;
+ FIXED ( 0 159423 ) E ;
- axi_req_o[183] + NET axi_req_o[183] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 160612 ) E ;
+ FIXED ( 0 160612 ) E ;
- axi_req_o[182] + NET axi_req_o[182] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 160671 ) E ;
+ FIXED ( 0 160671 ) E ;
- axi_req_o[181] + NET axi_req_o[181] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 159327 ) E ;
+ FIXED ( 0 159327 ) E ;
- axi_req_o[180] + NET axi_req_o[180] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 159332 ) E ;
+ FIXED ( 0 159332 ) E ;
- axi_req_o[179] + NET axi_req_o[179] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 160740 ) E ;
+ FIXED ( 0 160740 ) E ;
- axi_req_o[178] + NET axi_req_o[178] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 160767 ) E ;
+ FIXED ( 0 160767 ) E ;
- axi_req_o[177] + NET axi_req_o[177] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 159231 ) E ;
+ FIXED ( 0 159231 ) E ;
- axi_req_o[176] + NET axi_req_o[176] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 159204 ) E ;
+ FIXED ( 0 159204 ) E ;
- axi_req_o[175] + NET axi_req_o[175] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 160863 ) E ;
+ FIXED ( 0 160863 ) E ;
- axi_req_o[174] + NET axi_req_o[174] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 165711 ) E ;
+ FIXED ( 0 165711 ) E ;
- axi_req_o[173] + NET axi_req_o[173] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 211503 ) E ;
+ FIXED ( 0 211503 ) E ;
- axi_req_o[172] + NET axi_req_o[172] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 211492 ) E ;
+ FIXED ( 0 211492 ) E ;
- axi_req_o[171] + NET axi_req_o[171] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 211599 ) E ;
+ FIXED ( 0 211599 ) E ;
- axi_req_o[170] + NET axi_req_o[170] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 212127 ) E ;
+ FIXED ( 0 212127 ) E ;
- axi_req_o[169] + NET axi_req_o[169] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 211620 ) E ;
+ FIXED ( 0 211620 ) E ;
- axi_req_o[168] + NET axi_req_o[168] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 211071 ) E ;
+ FIXED ( 0 211071 ) E ;
- axi_req_o[167] + NET axi_req_o[167] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 211695 ) E ;
+ FIXED ( 0 211695 ) E ;
- axi_req_o[166] + NET axi_req_o[166] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 212559 ) E ;
+ FIXED ( 0 212559 ) E ;
- axi_req_o[165] + NET axi_req_o[165] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 212580 ) E ;
+ FIXED ( 0 212580 ) E ;
- axi_req_o[164] + NET axi_req_o[164] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 210975 ) E ;
+ FIXED ( 0 210975 ) E ;
- axi_req_o[163] + NET axi_req_o[163] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 212031 ) E ;
+ FIXED ( 0 212031 ) E ;
- axi_req_o[162] + NET axi_req_o[162] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 211407 ) E ;
+ FIXED ( 0 211407 ) E ;
- axi_req_o[161] + NET axi_req_o[161] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 211364 ) E ;
+ FIXED ( 0 211364 ) E ;
- axi_req_o[160] + NET axi_req_o[160] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 211748 ) E ;
+ FIXED ( 0 211748 ) E ;
- axi_req_o[159] + NET axi_req_o[159] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 212655 ) E ;
+ FIXED ( 0 212655 ) E ;
- axi_req_o[158] + NET axi_req_o[158] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 212708 ) E ;
+ FIXED ( 0 212708 ) E ;
- axi_req_o[157] + NET axi_req_o[157] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 192063 ) E ;
+ FIXED ( 0 192063 ) E ;
- axi_req_o[156] + NET axi_req_o[156] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 193167 ) E ;
+ FIXED ( 0 193167 ) E ;
- axi_req_o[155] + NET axi_req_o[155] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 193695 ) E ;
+ FIXED ( 0 193695 ) E ;
- axi_req_o[154] + NET axi_req_o[154] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 191055 ) E ;
+ FIXED ( 0 191055 ) E ;
- axi_req_o[153] + NET axi_req_o[153] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 192687 ) E ;
+ FIXED ( 0 192687 ) E ;
- axi_req_o[152] + NET axi_req_o[152] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 191631 ) E ;
+ FIXED ( 0 191631 ) E ;
- axi_req_o[151] + NET axi_req_o[151] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 191012 ) E ;
+ FIXED ( 0 191012 ) E ;
- axi_req_o[150] + NET axi_req_o[150] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 191588 ) E ;
+ FIXED ( 0 191588 ) E ;
- axi_req_o[149] + NET axi_req_o[149] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 191151 ) E ;
+ FIXED ( 0 191151 ) E ;
- axi_req_o[148] + NET axi_req_o[148] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 190527 ) E ;
+ FIXED ( 0 190527 ) E ;
- axi_req_o[147] + NET axi_req_o[147] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 194223 ) E ;
+ FIXED ( 0 194223 ) E ;
- axi_req_o[146] + NET axi_req_o[146] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 189999 ) E ;
+ FIXED ( 0 189999 ) E ;
- axi_req_o[145] + NET axi_req_o[145] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 189903 ) E ;
+ FIXED ( 0 189903 ) E ;
- axi_req_o[144] + NET axi_req_o[144] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 189375 ) E ;
+ FIXED ( 0 189375 ) E ;
- axi_req_o[143] + NET axi_req_o[143] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 189924 ) E ;
+ FIXED ( 0 189924 ) E ;
- axi_req_o[142] + NET axi_req_o[142] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 189471 ) E ;
+ FIXED ( 0 189471 ) E ;
- axi_req_o[141] + NET axi_req_o[141] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 205023 ) E ;
+ FIXED ( 0 205023 ) E ;
- axi_req_o[140] + NET axi_req_o[140] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 204591 ) E ;
+ FIXED ( 0 204591 ) E ;
- axi_req_o[139] + NET axi_req_o[139] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 205119 ) E ;
+ FIXED ( 0 205119 ) E ;
- axi_req_o[138] + NET axi_req_o[138] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 205028 ) E ;
+ FIXED ( 0 205028 ) E ;
- axi_req_o[137] + NET axi_req_o[137] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 209871 ) E ;
+ FIXED ( 0 209871 ) E ;
- axi_req_o[136] + NET axi_req_o[136] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 204495 ) E ;
+ FIXED ( 0 204495 ) E ;
- axi_req_o[135] + NET axi_req_o[135] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 204927 ) E ;
+ FIXED ( 0 204927 ) E ;
- axi_req_o[134] + NET axi_req_o[134] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 212068 ) E ;
+ FIXED ( 0 212068 ) E ;
- axi_req_o[133] + NET axi_req_o[133] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 210980 ) E ;
+ FIXED ( 0 210980 ) E ;
- axi_req_o[132] + NET axi_req_o[132] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 203487 ) E ;
+ FIXED ( 0 203487 ) E ;
- axi_req_o[131] + NET axi_req_o[131] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 202959 ) E ;
+ FIXED ( 0 202959 ) E ;
- axi_req_o[130] + NET axi_req_o[130] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 211311 ) E ;
+ FIXED ( 0 211311 ) E ;
- axi_req_o[129] + NET axi_req_o[129] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 204580 ) E ;
+ FIXED ( 0 204580 ) E ;
- axi_req_o[128] + NET axi_req_o[128] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 203919 ) E ;
+ FIXED ( 0 203919 ) E ;
- axi_req_o[127] + NET axi_req_o[127] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 208911 ) E ;
+ FIXED ( 0 208911 ) E ;
- axi_req_o[126] + NET axi_req_o[126] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 201807 ) E ;
+ FIXED ( 0 201807 ) E ;
- axi_req_o[125] + NET axi_req_o[125] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 210447 ) E ;
+ FIXED ( 0 210447 ) E ;
- axi_req_o[124] + NET axi_req_o[124] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 209439 ) E ;
+ FIXED ( 0 209439 ) E ;
- axi_req_o[123] + NET axi_req_o[123] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 209892 ) E ;
+ FIXED ( 0 209892 ) E ;
- axi_req_o[122] + NET axi_req_o[122] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 209343 ) E ;
+ FIXED ( 0 209343 ) E ;
- axi_req_o[121] + NET axi_req_o[121] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 209967 ) E ;
+ FIXED ( 0 209967 ) E ;
- axi_req_o[120] + NET axi_req_o[120] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 210404 ) E ;
+ FIXED ( 0 210404 ) E ;
- axi_req_o[119] + NET axi_req_o[119] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 209444 ) E ;
+ FIXED ( 0 209444 ) E ;
- axi_req_o[118] + NET axi_req_o[118] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 209775 ) E ;
+ FIXED ( 0 209775 ) E ;
- axi_req_o[117] + NET axi_req_o[117] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 210020 ) E ;
+ FIXED ( 0 210020 ) E ;
- axi_req_o[116] + NET axi_req_o[116] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 210063 ) E ;
+ FIXED ( 0 210063 ) E ;
- axi_req_o[115] + NET axi_req_o[115] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 211215 ) E ;
+ FIXED ( 0 211215 ) E ;
- axi_req_o[114] + NET axi_req_o[114] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 210159 ) E ;
+ FIXED ( 0 210159 ) E ;
- axi_req_o[113] + NET axi_req_o[113] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 209316 ) E ;
+ FIXED ( 0 209316 ) E ;
- axi_req_o[112] + NET axi_req_o[112] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 210148 ) E ;
+ FIXED ( 0 210148 ) E ;
- axi_req_o[111] + NET axi_req_o[111] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 212196 ) E ;
+ FIXED ( 0 212196 ) E ;
- axi_req_o[110] + NET axi_req_o[110] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 210351 ) E ;
+ FIXED ( 0 210351 ) E ;
- axi_req_o[109] + NET axi_req_o[109] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 194751 ) E ;
+ FIXED ( 0 194751 ) E ;
- axi_req_o[108] + NET axi_req_o[108] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 194847 ) E ;
+ FIXED ( 0 194847 ) E ;
- axi_req_o[107] + NET axi_req_o[107] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 192159 ) E ;
+ FIXED ( 0 192159 ) E ;
- axi_req_o[106] + NET axi_req_o[106] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 192100 ) E ;
+ FIXED ( 0 192100 ) E ;
- axi_req_o[105] + NET axi_req_o[105] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 194212 ) E ;
+ FIXED ( 0 194212 ) E ;
- axi_req_o[104] + NET axi_req_o[104] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 193263 ) E ;
+ FIXED ( 0 193263 ) E ;
- axi_req_o[103] + NET axi_req_o[103] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 193124 ) E ;
+ FIXED ( 0 193124 ) E ;
- axi_req_o[102] + NET axi_req_o[102] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 192228 ) E ;
+ FIXED ( 0 192228 ) E ;
- axi_req_o[101] + NET axi_req_o[101] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 169695 ) E ;
+ FIXED ( 0 169695 ) E ;
- axi_req_o[100] + NET axi_req_o[100] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 169892 ) E ;
+ FIXED ( 0 169892 ) E ;
- axi_req_o[99] + NET axi_req_o[99] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 167343 ) E ;
+ FIXED ( 0 167343 ) E ;
- axi_req_o[98] + NET axi_req_o[98] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 165375 ) E ;
+ FIXED ( 0 165375 ) E ;
- axi_req_o[97] + NET axi_req_o[97] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 171711 ) E ;
+ FIXED ( 0 171711 ) E ;
- axi_req_o[96] + NET axi_req_o[96] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 175983 ) E ;
+ FIXED ( 0 175983 ) E ;
- axi_req_o[95] + NET axi_req_o[95] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 175972 ) E ;
+ FIXED ( 0 175972 ) E ;
- axi_req_o[94] + NET axi_req_o[94] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 168207 ) E ;
+ FIXED ( 0 168207 ) E ;
- axi_req_o[93] + NET axi_req_o[93] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 168932 ) E ;
+ FIXED ( 0 168932 ) E ;
- axi_req_o[92] + NET axi_req_o[92] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 168164 ) E ;
+ FIXED ( 0 168164 ) E ;
- axi_req_o[91] + NET axi_req_o[91] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 168591 ) E ;
+ FIXED ( 0 168591 ) E ;
- axi_req_o[90] + NET axi_req_o[90] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 168804 ) E ;
+ FIXED ( 0 168804 ) E ;
- axi_req_o[89] + NET axi_req_o[89] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 169023 ) E ;
+ FIXED ( 0 169023 ) E ;
- axi_req_o[88] + NET axi_req_o[88] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 168687 ) E ;
+ FIXED ( 0 168687 ) E ;
- axi_req_o[87] + NET axi_req_o[87] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 168676 ) E ;
+ FIXED ( 0 168676 ) E ;
- axi_req_o[86] + NET axi_req_o[86] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 170276 ) E ;
+ FIXED ( 0 170276 ) E ;
- axi_req_o[85] + NET axi_req_o[85] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 169455 ) E ;
+ FIXED ( 0 169455 ) E ;
- axi_req_o[84] + NET axi_req_o[84] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 171748 ) E ;
+ FIXED ( 0 171748 ) E ;
- axi_req_o[83] + NET axi_req_o[83] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 171375 ) E ;
+ FIXED ( 0 171375 ) E ;
- axi_req_o[82] + NET axi_req_o[82] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 169060 ) E ;
+ FIXED ( 0 169060 ) E ;
- axi_req_o[81] + NET axi_req_o[81] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 170799 ) E ;
+ FIXED ( 0 170799 ) E ;
- axi_req_o[80] + NET axi_req_o[80] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 169839 ) E ;
+ FIXED ( 0 169839 ) E ;
- axi_req_o[79] + NET axi_req_o[79] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 169764 ) E ;
+ FIXED ( 0 169764 ) E ;
- axi_req_o[78] + NET axi_req_o[78] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 169380 ) E ;
+ FIXED ( 0 169380 ) E ;
- axi_req_o[77] + NET axi_req_o[77] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 169508 ) E ;
+ FIXED ( 0 169508 ) E ;
- axi_req_o[76] + NET axi_req_o[76] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 169263 ) E ;
+ FIXED ( 0 169263 ) E ;
- axi_req_o[75] + NET axi_req_o[75] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 170175 ) E ;
+ FIXED ( 0 170175 ) E ;
- axi_req_o[74] + NET axi_req_o[74] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 171364 ) E ;
+ FIXED ( 0 171364 ) E ;
- axi_req_o[73] + NET axi_req_o[73] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 171903 ) E ;
+ FIXED ( 0 171903 ) E ;
- axi_req_o[72] + NET axi_req_o[72] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 170148 ) E ;
+ FIXED ( 0 170148 ) E ;
- axi_req_o[71] + NET axi_req_o[71] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 169636 ) E ;
+ FIXED ( 0 169636 ) E ;
- axi_req_o[70] + NET axi_req_o[70] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 169599 ) E ;
+ FIXED ( 0 169599 ) E ;
- axi_req_o[69] + NET axi_req_o[69] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 169252 ) E ;
+ FIXED ( 0 169252 ) E ;
- axi_req_o[68] + NET axi_req_o[68] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 169167 ) E ;
+ FIXED ( 0 169167 ) E ;
- axi_req_o[67] + NET axi_req_o[67] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 168111 ) E ;
+ FIXED ( 0 168111 ) E ;
- axi_req_o[66] + NET axi_req_o[66] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 168015 ) E ;
+ FIXED ( 0 168015 ) E ;
- axi_req_o[65] + NET axi_req_o[65] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 171807 ) E ;
+ FIXED ( 0 171807 ) E ;
- axi_req_o[64] + NET axi_req_o[64] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 172452 ) E ;
+ FIXED ( 0 172452 ) E ;
- axi_req_o[63] + NET axi_req_o[63] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 168036 ) E ;
+ FIXED ( 0 168036 ) E ;
- axi_req_o[62] + NET axi_req_o[62] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 167919 ) E ;
+ FIXED ( 0 167919 ) E ;
- axi_req_o[61] + NET axi_req_o[61] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 172772 ) E ;
+ FIXED ( 0 172772 ) E ;
- axi_req_o[60] + NET axi_req_o[60] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 172815 ) E ;
+ FIXED ( 0 172815 ) E ;
- axi_req_o[59] + NET axi_req_o[59] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 172911 ) E ;
+ FIXED ( 0 172911 ) E ;
- axi_req_o[58] + NET axi_req_o[58] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 174159 ) E ;
+ FIXED ( 0 174159 ) E ;
- axi_req_o[57] + NET axi_req_o[57] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 174628 ) E ;
+ FIXED ( 0 174628 ) E ;
- axi_req_o[56] + NET axi_req_o[56] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 172900 ) E ;
+ FIXED ( 0 172900 ) E ;
- axi_req_o[55] + NET axi_req_o[55] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 175012 ) E ;
+ FIXED ( 0 175012 ) E ;
- axi_req_o[54] + NET axi_req_o[54] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 173247 ) E ;
+ FIXED ( 0 173247 ) E ;
- axi_req_o[53] + NET axi_req_o[53] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 174372 ) E ;
+ FIXED ( 0 174372 ) E ;
- axi_req_o[52] + NET axi_req_o[52] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 174591 ) E ;
+ FIXED ( 0 174591 ) E ;
- axi_req_o[51] + NET axi_req_o[51] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 173796 ) E ;
+ FIXED ( 0 173796 ) E ;
- axi_req_o[50] + NET axi_req_o[50] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 174116 ) E ;
+ FIXED ( 0 174116 ) E ;
- axi_req_o[49] + NET axi_req_o[49] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 175460 ) E ;
+ FIXED ( 0 175460 ) E ;
- axi_req_o[48] + NET axi_req_o[48] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 175503 ) E ;
+ FIXED ( 0 175503 ) E ;
- axi_req_o[47] + NET axi_req_o[47] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 173156 ) E ;
+ FIXED ( 0 173156 ) E ;
- axi_req_o[46] + NET axi_req_o[46] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 173284 ) E ;
+ FIXED ( 0 173284 ) E ;
- axi_req_o[45] + NET axi_req_o[45] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 174063 ) E ;
+ FIXED ( 0 174063 ) E ;
- axi_req_o[44] + NET axi_req_o[44] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 173823 ) E ;
+ FIXED ( 0 173823 ) E ;
- axi_req_o[43] + NET axi_req_o[43] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 174447 ) E ;
+ FIXED ( 0 174447 ) E ;
- axi_req_o[42] + NET axi_req_o[42] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 173007 ) E ;
+ FIXED ( 0 173007 ) E ;
- axi_req_o[41] + NET axi_req_o[41] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 173028 ) E ;
+ FIXED ( 0 173028 ) E ;
- axi_req_o[40] + NET axi_req_o[40] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 173343 ) E ;
+ FIXED ( 0 173343 ) E ;
- axi_req_o[39] + NET axi_req_o[39] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 173412 ) E ;
+ FIXED ( 0 173412 ) E ;
- axi_req_o[38] + NET axi_req_o[38] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 173439 ) E ;
+ FIXED ( 0 173439 ) E ;
- axi_req_o[37] + NET axi_req_o[37] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 173535 ) E ;
+ FIXED ( 0 173535 ) E ;
- axi_req_o[36] + NET axi_req_o[36] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 173540 ) E ;
+ FIXED ( 0 173540 ) E ;
- axi_req_o[35] + NET axi_req_o[35] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 173631 ) E ;
+ FIXED ( 0 173631 ) E ;
- axi_req_o[34] + NET axi_req_o[34] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 175695 ) E ;
+ FIXED ( 0 175695 ) E ;
- axi_req_o[33] + NET axi_req_o[33] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 181791 ) E ;
+ FIXED ( 0 181791 ) E ;
- axi_req_o[32] + NET axi_req_o[32] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 181359 ) E ;
+ FIXED ( 0 181359 ) E ;
- axi_req_o[31] + NET axi_req_o[31] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 180831 ) E ;
+ FIXED ( 0 180831 ) E ;
- axi_req_o[30] + NET axi_req_o[30] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 160868 ) E ;
+ FIXED ( 0 160868 ) E ;
- axi_req_o[29] + NET axi_req_o[29] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 159135 ) E ;
+ FIXED ( 0 159135 ) E ;
- axi_req_o[28] + NET axi_req_o[28] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 159076 ) E ;
+ FIXED ( 0 159076 ) E ;
- axi_req_o[27] + NET axi_req_o[27] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 160959 ) E ;
+ FIXED ( 0 160959 ) E ;
- axi_req_o[26] + NET axi_req_o[26] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 159039 ) E ;
+ FIXED ( 0 159039 ) E ;
- axi_req_o[25] + NET axi_req_o[25] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 160996 ) E ;
+ FIXED ( 0 160996 ) E ;
- axi_req_o[24] + NET axi_req_o[24] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 161055 ) E ;
+ FIXED ( 0 161055 ) E ;
- axi_req_o[23] + NET axi_req_o[23] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 173668 ) E ;
+ FIXED ( 0 173668 ) E ;
- axi_req_o[22] + NET axi_req_o[22] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 158943 ) E ;
+ FIXED ( 0 158943 ) E ;
- axi_req_o[21] + NET axi_req_o[21] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 181796 ) E ;
+ FIXED ( 0 181796 ) E ;
- axi_req_o[20] + NET axi_req_o[20] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 181924 ) E ;
+ FIXED ( 0 181924 ) E ;
- axi_req_o[19] + NET axi_req_o[19] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 158948 ) E ;
+ FIXED ( 0 158948 ) E ;
- axi_req_o[18] + NET axi_req_o[18] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 173919 ) E ;
+ FIXED ( 0 173919 ) E ;
- axi_req_o[17] + NET axi_req_o[17] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 161124 ) E ;
+ FIXED ( 0 161124 ) E ;
- axi_req_o[16] + NET axi_req_o[16] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 161151 ) E ;
+ FIXED ( 0 161151 ) E ;
- axi_req_o[15] + NET axi_req_o[15] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 158847 ) E ;
+ FIXED ( 0 158847 ) E ;
- axi_req_o[14] + NET axi_req_o[14] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 158820 ) E ;
+ FIXED ( 0 158820 ) E ;
- axi_req_o[13] + NET axi_req_o[13] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 161247 ) E ;
+ FIXED ( 0 161247 ) E ;
- axi_req_o[12] + NET axi_req_o[12] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 173924 ) E ;
+ FIXED ( 0 173924 ) E ;
- axi_req_o[11] + NET axi_req_o[11] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 161252 ) E ;
+ FIXED ( 0 161252 ) E ;
- axi_req_o[10] + NET axi_req_o[10] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 155871 ) E ;
+ FIXED ( 0 155871 ) E ;
- axi_req_o[9] + NET axi_req_o[9] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 158751 ) E ;
+ FIXED ( 0 158751 ) E ;
- axi_req_o[8] + NET axi_req_o[8] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 158692 ) E ;
+ FIXED ( 0 158692 ) E ;
- axi_req_o[7] + NET axi_req_o[7] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 161343 ) E ;
+ FIXED ( 0 161343 ) E ;
- axi_req_o[6] + NET axi_req_o[6] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 158655 ) E ;
+ FIXED ( 0 158655 ) E ;
- axi_req_o[5] + NET axi_req_o[5] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 161380 ) E ;
+ FIXED ( 0 161380 ) E ;
- axi_req_o[4] + NET axi_req_o[4] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 161439 ) E ;
+ FIXED ( 0 161439 ) E ;
- axi_req_o[3] + NET axi_req_o[3] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 158559 ) E ;
+ FIXED ( 0 158559 ) E ;
- axi_req_o[2] + NET axi_req_o[2] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 158564 ) E ;
+ FIXED ( 0 158564 ) E ;
- axi_req_o[1] + NET axi_req_o[1] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 164655 ) E ;
+ FIXED ( 0 164655 ) E ;
- axi_req_o[0] + NET axi_req_o[0] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 167775 ) E ;
+ FIXED ( 0 167775 ) E ;
- axi_resp_i[81] + NET axi_resp_i[81] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 165471 ) E ;
+ FIXED ( 0 165471 ) E ;
- axi_resp_i[80] + NET axi_resp_i[80] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 164319 ) E ;
+ FIXED ( 0 164319 ) E ;
- axi_resp_i[79] + NET axi_resp_i[79] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 167908 ) E ;
+ FIXED ( 0 167908 ) E ;
- axi_resp_i[78] + NET axi_resp_i[78] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 167332 ) E ;
+ FIXED ( 0 167332 ) E ;
- axi_resp_i[77] + NET axi_resp_i[77] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 167583 ) E ;
+ FIXED ( 0 167583 ) E ;
- axi_resp_i[76] + NET axi_resp_i[76] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 167588 ) E ;
+ FIXED ( 0 167588 ) E ;
- axi_resp_i[75] + NET axi_resp_i[75] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 167460 ) E ;
+ FIXED ( 0 167460 ) E ;
- axi_resp_i[74] + NET axi_resp_i[74] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 167247 ) E ;
+ FIXED ( 0 167247 ) E ;
- axi_resp_i[73] + NET axi_resp_i[73] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 161508 ) E ;
+ FIXED ( 0 161508 ) E ;
- axi_resp_i[72] + NET axi_resp_i[72] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 161535 ) E ;
+ FIXED ( 0 161535 ) E ;
- axi_resp_i[71] + NET axi_resp_i[71] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 166239 ) E ;
+ FIXED ( 0 166239 ) E ;
- axi_resp_i[70] + NET axi_resp_i[70] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 166143 ) E ;
+ FIXED ( 0 166143 ) E ;
- axi_resp_i[69] + NET axi_resp_i[69] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 166383 ) E ;
+ FIXED ( 0 166383 ) E ;
- axi_resp_i[68] + NET axi_resp_i[68] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 166116 ) E ;
+ FIXED ( 0 166116 ) E ;
- axi_resp_i[67] + NET axi_resp_i[67] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 166047 ) E ;
+ FIXED ( 0 166047 ) E ;
- axi_resp_i[66] + NET axi_resp_i[66] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 177807 ) E ;
+ FIXED ( 0 177807 ) E ;
- axi_resp_i[65] + NET axi_resp_i[65] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 177663 ) E ;
+ FIXED ( 0 177663 ) E ;
- axi_resp_i[64] + NET axi_resp_i[64] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 177951 ) E ;
+ FIXED ( 0 177951 ) E ;
- axi_resp_i[63] + NET axi_resp_i[63] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 178335 ) E ;
+ FIXED ( 0 178335 ) E ;
- axi_resp_i[62] + NET axi_resp_i[62] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 177828 ) E ;
+ FIXED ( 0 177828 ) E ;
- axi_resp_i[61] + NET axi_resp_i[61] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 177700 ) E ;
+ FIXED ( 0 177700 ) E ;
- axi_resp_i[60] + NET axi_resp_i[60] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 178020 ) E ;
+ FIXED ( 0 178020 ) E ;
- axi_resp_i[59] + NET axi_resp_i[59] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 177231 ) E ;
+ FIXED ( 0 177231 ) E ;
- axi_resp_i[58] + NET axi_resp_i[58] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 178719 ) E ;
+ FIXED ( 0 178719 ) E ;
- axi_resp_i[57] + NET axi_resp_i[57] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 178911 ) E ;
+ FIXED ( 0 178911 ) E ;
- axi_resp_i[56] + NET axi_resp_i[56] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 186927 ) E ;
+ FIXED ( 0 186927 ) E ;
- axi_resp_i[55] + NET axi_resp_i[55] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 187167 ) E ;
+ FIXED ( 0 187167 ) E ;
- axi_resp_i[54] + NET axi_resp_i[54] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 187236 ) E ;
+ FIXED ( 0 187236 ) E ;
- axi_resp_i[53] + NET axi_resp_i[53] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 186351 ) E ;
+ FIXED ( 0 186351 ) E ;
- axi_resp_i[52] + NET axi_resp_i[52] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 186015 ) E ;
+ FIXED ( 0 186015 ) E ;
- axi_resp_i[51] + NET axi_resp_i[51] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 186735 ) E ;
+ FIXED ( 0 186735 ) E ;
- axi_resp_i[50] + NET axi_resp_i[50] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 167780 ) E ;
+ FIXED ( 0 167780 ) E ;
- axi_resp_i[49] + NET axi_resp_i[49] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 167679 ) E ;
+ FIXED ( 0 167679 ) E ;
- axi_resp_i[48] + NET axi_resp_i[48] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 167204 ) E ;
+ FIXED ( 0 167204 ) E ;
- axi_resp_i[47] + NET axi_resp_i[47] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 167151 ) E ;
+ FIXED ( 0 167151 ) E ;
- axi_resp_i[46] + NET axi_resp_i[46] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 166244 ) E ;
+ FIXED ( 0 166244 ) E ;
- axi_resp_i[45] + NET axi_resp_i[45] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 167055 ) E ;
+ FIXED ( 0 167055 ) E ;
- axi_resp_i[44] + NET axi_resp_i[44] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 167076 ) E ;
+ FIXED ( 0 167076 ) E ;
- axi_resp_i[43] + NET axi_resp_i[43] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 166959 ) E ;
+ FIXED ( 0 166959 ) E ;
- axi_resp_i[42] + NET axi_resp_i[42] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 166948 ) E ;
+ FIXED ( 0 166948 ) E ;
- axi_resp_i[41] + NET axi_resp_i[41] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 166436 ) E ;
+ FIXED ( 0 166436 ) E ;
- axi_resp_i[40] + NET axi_resp_i[40] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 165988 ) E ;
+ FIXED ( 0 165988 ) E ;
- axi_resp_i[39] + NET axi_resp_i[39] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 166863 ) E ;
+ FIXED ( 0 166863 ) E ;
- axi_resp_i[38] + NET axi_resp_i[38] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 166479 ) E ;
+ FIXED ( 0 166479 ) E ;
- axi_resp_i[37] + NET axi_resp_i[37] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 166575 ) E ;
+ FIXED ( 0 166575 ) E ;
- axi_resp_i[36] + NET axi_resp_i[36] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 164943 ) E ;
+ FIXED ( 0 164943 ) E ;
- axi_resp_i[35] + NET axi_resp_i[35] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 165951 ) E ;
+ FIXED ( 0 165951 ) E ;
- axi_resp_i[34] + NET axi_resp_i[34] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 194319 ) E ;
+ FIXED ( 0 194319 ) E ;
- axi_resp_i[33] + NET axi_resp_i[33] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 193887 ) E ;
+ FIXED ( 0 193887 ) E ;
- axi_resp_i[32] + NET axi_resp_i[32] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 192495 ) E ;
+ FIXED ( 0 192495 ) E ;
- axi_resp_i[31] + NET axi_resp_i[31] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 192879 ) E ;
+ FIXED ( 0 192879 ) E ;
- axi_resp_i[30] + NET axi_resp_i[30] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 192255 ) E ;
+ FIXED ( 0 192255 ) E ;
- axi_resp_i[29] + NET axi_resp_i[29] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 191823 ) E ;
+ FIXED ( 0 191823 ) E ;
- axi_resp_i[28] + NET axi_resp_i[28] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 192740 ) E ;
+ FIXED ( 0 192740 ) E ;
- axi_resp_i[27] + NET axi_resp_i[27] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 192548 ) E ;
+ FIXED ( 0 192548 ) E ;
- axi_resp_i[26] + NET axi_resp_i[26] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 190671 ) E ;
+ FIXED ( 0 190671 ) E ;
- axi_resp_i[25] + NET axi_resp_i[25] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 190287 ) E ;
+ FIXED ( 0 190287 ) E ;
- axi_resp_i[24] + NET axi_resp_i[24] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 189412 ) E ;
+ FIXED ( 0 189412 ) E ;
- axi_resp_i[23] + NET axi_resp_i[23] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 189663 ) E ;
+ FIXED ( 0 189663 ) E ;
- axi_resp_i[22] + NET axi_resp_i[22] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 189135 ) E ;
+ FIXED ( 0 189135 ) E ;
- axi_resp_i[21] + NET axi_resp_i[21] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 188943 ) E ;
+ FIXED ( 0 188943 ) E ;
- axi_resp_i[20] + NET axi_resp_i[20] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 186788 ) E ;
+ FIXED ( 0 186788 ) E ;
- axi_resp_i[19] + NET axi_resp_i[19] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 186831 ) E ;
+ FIXED ( 0 186831 ) E ;
- axi_resp_i[18] + NET axi_resp_i[18] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 187695 ) E ;
+ FIXED ( 0 187695 ) E ;
- axi_resp_i[17] + NET axi_resp_i[17] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 185775 ) E ;
+ FIXED ( 0 185775 ) E ;
- axi_resp_i[16] + NET axi_resp_i[16] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 187599 ) E ;
+ FIXED ( 0 187599 ) E ;
- axi_resp_i[15] + NET axi_resp_i[15] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 186543 ) E ;
+ FIXED ( 0 186543 ) E ;
- axi_resp_i[14] + NET axi_resp_i[14] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 187108 ) E ;
+ FIXED ( 0 187108 ) E ;
- axi_resp_i[13] + NET axi_resp_i[13] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 187407 ) E ;
+ FIXED ( 0 187407 ) E ;
- axi_resp_i[12] + NET axi_resp_i[12] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 177567 ) E ;
+ FIXED ( 0 177567 ) E ;
- axi_resp_i[11] + NET axi_resp_i[11] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 177423 ) E ;
+ FIXED ( 0 177423 ) E ;
- axi_resp_i[10] + NET axi_resp_i[10] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 184767 ) E ;
+ FIXED ( 0 184767 ) E ;
- axi_resp_i[9] + NET axi_resp_i[9] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 185764 ) E ;
+ FIXED ( 0 185764 ) E ;
- axi_resp_i[8] + NET axi_resp_i[8] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 177380 ) E ;
+ FIXED ( 0 177380 ) E ;
- axi_resp_i[7] + NET axi_resp_i[7] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 185391 ) E ;
+ FIXED ( 0 185391 ) E ;
- axi_resp_i[6] + NET axi_resp_i[6] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 185487 ) E ;
+ FIXED ( 0 185487 ) E ;
- axi_resp_i[5] + NET axi_resp_i[5] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 185247 ) E ;
+ FIXED ( 0 185247 ) E ;
- axi_resp_i[4] + NET axi_resp_i[4] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 184335 ) E ;
+ FIXED ( 0 184335 ) E ;
- axi_resp_i[3] + NET axi_resp_i[3] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 185316 ) E ;
+ FIXED ( 0 185316 ) E ;
- axi_resp_i[2] + NET axi_resp_i[2] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 158463 ) E ;
+ FIXED ( 0 158463 ) E ;
- axi_resp_i[1] + NET axi_resp_i[1] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 158436 ) E ;
+ FIXED ( 0 158436 ) E ;
- axi_resp_i[0] + NET axi_resp_i[0] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 165855 ) E ;
+ FIXED ( 0 165855 ) E ;
END PINS
END DESIGN
This source diff could not be displayed because it is too large. You can view the blob instead.
......@@ -3,6 +3,7 @@
set DESIGN ariane
set sdc ../../constraints/${DESIGN}.sdc
set rtldir ../../rtl
if {[info exist ::env(PHY_SYNTH)] && $::env(PHY_SYNTH) == 1} {
set floorplan_def ../../def/ariane133_fp_placed_macros.def
......@@ -18,5 +19,6 @@ set GEN_EFF medium
# possible values are : high, medium or low
set MAP_EFF high
#
set SITE "asap7sc7p5t"
set HALO_WIDTH 2
set TOP_ROUTING_LAYER 7
File mode changed from 100644 to 100755
......@@ -53,7 +53,7 @@ if {![info exist ::env(PHY_SYNTH)] || $::env(PHY_SYNTH) == 0} {
#################################################
# Load Design and Initialize
#################################################
set_db init_hdl_search_path $rtldir
source rtl_list.tcl
foreach rtl_file $rtl_all {
......
......@@ -12,8 +12,6 @@ set handoff_dir "./syn_handoff"
set netlist ${handoff_dir}/${DESIGN}.v
set sdc ${handoff_dir}/${DESIGN}.sdc
set site "FreePDK45_38x28_10R_NP_162NW_34O"
set rptDir summaryReport/
set encDir enc/
......@@ -41,7 +39,7 @@ init_design -setup {WC_VIEW} -hold {BC_VIEW}
set_power_analysis_mode -leakage_power_view WC_VIEW -dynamic_power_view WC_VIEW
set_interactive_constraint_modes {CON}
setDesignMode -process 45
setDesignMode -process 7
clearGlobalNets
globalNetConnect VDD -type pgpin -pin VDD -inst * -override
......@@ -58,7 +56,7 @@ generateVias
createBasicPathGroups -expanded
## Generate the floorplan ##
setFPlanMode -snapBlockGrid LayerTrack
if {[info exist ::env(PHY_SYNTH)] && $::env(PHY_SYNTH) == 1} {
defIn ${handoff_dir}/${DESIGN}.def
} else {
......
......@@ -2314,1489 +2314,1489 @@ ROW ROW_1147 asap7sc7p5t 5004 314694 N DO 5740 BY 1 STEP 54 0
PINS 495 ;
- clk_i + NET clk_i + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 136479 ) E ;
+ FIXED ( 0 136479 ) E ;
- rst_ni + NET rst_ni + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 161631 ) E ;
+ FIXED ( 0 161631 ) E ;
- boot_addr_i[63] + NET boot_addr_i[63] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 97647 ) E ;
+ FIXED ( 0 97647 ) E ;
- boot_addr_i[62] + NET boot_addr_i[62] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 97743 ) E ;
+ FIXED ( 0 97743 ) E ;
- boot_addr_i[61] + NET boot_addr_i[61] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 96063 ) E ;
+ FIXED ( 0 96063 ) E ;
- boot_addr_i[60] + NET boot_addr_i[60] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 97764 ) E ;
+ FIXED ( 0 97764 ) E ;
- boot_addr_i[59] + NET boot_addr_i[59] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 96543 ) E ;
+ FIXED ( 0 96543 ) E ;
- boot_addr_i[58] + NET boot_addr_i[58] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 97551 ) E ;
+ FIXED ( 0 97551 ) E ;
- boot_addr_i[57] + NET boot_addr_i[57] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 96831 ) E ;
+ FIXED ( 0 96831 ) E ;
- boot_addr_i[56] + NET boot_addr_i[56] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 97071 ) E ;
+ FIXED ( 0 97071 ) E ;
- boot_addr_i[55] + NET boot_addr_i[55] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 96036 ) E ;
+ FIXED ( 0 96036 ) E ;
- boot_addr_i[54] + NET boot_addr_i[54] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 96735 ) E ;
+ FIXED ( 0 96735 ) E ;
- boot_addr_i[53] + NET boot_addr_i[53] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 96303 ) E ;
+ FIXED ( 0 96303 ) E ;
- boot_addr_i[52] + NET boot_addr_i[52] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 97124 ) E ;
+ FIXED ( 0 97124 ) E ;
- boot_addr_i[51] + NET boot_addr_i[51] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 96484 ) E ;
+ FIXED ( 0 96484 ) E ;
- boot_addr_i[50] + NET boot_addr_i[50] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 97572 ) E ;
+ FIXED ( 0 97572 ) E ;
- boot_addr_i[49] + NET boot_addr_i[49] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 97263 ) E ;
+ FIXED ( 0 97263 ) E ;
- boot_addr_i[48] + NET boot_addr_i[48] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 98511 ) E ;
+ FIXED ( 0 98511 ) E ;
- boot_addr_i[47] + NET boot_addr_i[47] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 98079 ) E ;
+ FIXED ( 0 98079 ) E ;
- boot_addr_i[46] + NET boot_addr_i[46] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 100527 ) E ;
+ FIXED ( 0 100527 ) E ;
- boot_addr_i[45] + NET boot_addr_i[45] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 100239 ) E ;
+ FIXED ( 0 100239 ) E ;
- boot_addr_i[44] + NET boot_addr_i[44] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 109839 ) E ;
+ FIXED ( 0 109839 ) E ;
- boot_addr_i[43] + NET boot_addr_i[43] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 111039 ) E ;
+ FIXED ( 0 111039 ) E ;
- boot_addr_i[42] + NET boot_addr_i[42] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 113679 ) E ;
+ FIXED ( 0 113679 ) E ;
- boot_addr_i[41] + NET boot_addr_i[41] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 113487 ) E ;
+ FIXED ( 0 113487 ) E ;
- boot_addr_i[40] + NET boot_addr_i[40] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 115455 ) E ;
+ FIXED ( 0 115455 ) E ;
- boot_addr_i[39] + NET boot_addr_i[39] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 114015 ) E ;
+ FIXED ( 0 114015 ) E ;
- boot_addr_i[38] + NET boot_addr_i[38] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 115983 ) E ;
+ FIXED ( 0 115983 ) E ;
- boot_addr_i[37] + NET boot_addr_i[37] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 113823 ) E ;
+ FIXED ( 0 113823 ) E ;
- boot_addr_i[36] + NET boot_addr_i[36] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 116004 ) E ;
+ FIXED ( 0 116004 ) E ;
- boot_addr_i[35] + NET boot_addr_i[35] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 113199 ) E ;
+ FIXED ( 0 113199 ) E ;
- boot_addr_i[34] + NET boot_addr_i[34] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 115071 ) E ;
+ FIXED ( 0 115071 ) E ;
- boot_addr_i[33] + NET boot_addr_i[33] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 112719 ) E ;
+ FIXED ( 0 112719 ) E ;
- boot_addr_i[32] + NET boot_addr_i[32] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 115044 ) E ;
+ FIXED ( 0 115044 ) E ;
- boot_addr_i[31] + NET boot_addr_i[31] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 113252 ) E ;
+ FIXED ( 0 113252 ) E ;
- boot_addr_i[30] + NET boot_addr_i[30] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 115599 ) E ;
+ FIXED ( 0 115599 ) E ;
- boot_addr_i[29] + NET boot_addr_i[29] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 112239 ) E ;
+ FIXED ( 0 112239 ) E ;
- boot_addr_i[28] + NET boot_addr_i[28] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 114975 ) E ;
+ FIXED ( 0 114975 ) E ;
- boot_addr_i[27] + NET boot_addr_i[27] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 111951 ) E ;
+ FIXED ( 0 111951 ) E ;
- boot_addr_i[26] + NET boot_addr_i[26] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 115359 ) E ;
+ FIXED ( 0 115359 ) E ;
- boot_addr_i[25] + NET boot_addr_i[25] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 112383 ) E ;
+ FIXED ( 0 112383 ) E ;
- boot_addr_i[24] + NET boot_addr_i[24] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 114543 ) E ;
+ FIXED ( 0 114543 ) E ;
- boot_addr_i[23] + NET boot_addr_i[23] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 112740 ) E ;
+ FIXED ( 0 112740 ) E ;
- boot_addr_i[22] + NET boot_addr_i[22] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 110511 ) E ;
+ FIXED ( 0 110511 ) E ;
- boot_addr_i[21] + NET boot_addr_i[21] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 109796 ) E ;
+ FIXED ( 0 109796 ) E ;
- boot_addr_i[20] + NET boot_addr_i[20] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 111807 ) E ;
+ FIXED ( 0 111807 ) E ;
- boot_addr_i[19] + NET boot_addr_i[19] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 112420 ) E ;
+ FIXED ( 0 112420 ) E ;
- boot_addr_i[18] + NET boot_addr_i[18] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 112527 ) E ;
+ FIXED ( 0 112527 ) E ;
- boot_addr_i[17] + NET boot_addr_i[17] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 111972 ) E ;
+ FIXED ( 0 111972 ) E ;
- boot_addr_i[16] + NET boot_addr_i[16] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 109263 ) E ;
+ FIXED ( 0 109263 ) E ;
- boot_addr_i[15] + NET boot_addr_i[15] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 110564 ) E ;
+ FIXED ( 0 110564 ) E ;
- boot_addr_i[14] + NET boot_addr_i[14] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 108831 ) E ;
+ FIXED ( 0 108831 ) E ;
- boot_addr_i[13] + NET boot_addr_i[13] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 108207 ) E ;
+ FIXED ( 0 108207 ) E ;
- boot_addr_i[12] + NET boot_addr_i[12] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 109455 ) E ;
+ FIXED ( 0 109455 ) E ;
- boot_addr_i[11] + NET boot_addr_i[11] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 107343 ) E ;
+ FIXED ( 0 107343 ) E ;
- boot_addr_i[10] + NET boot_addr_i[10] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 107871 ) E ;
+ FIXED ( 0 107871 ) E ;
- boot_addr_i[9] + NET boot_addr_i[9] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 106527 ) E ;
+ FIXED ( 0 106527 ) E ;
- boot_addr_i[8] + NET boot_addr_i[8] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 107151 ) E ;
+ FIXED ( 0 107151 ) E ;
- boot_addr_i[7] + NET boot_addr_i[7] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 106047 ) E ;
+ FIXED ( 0 106047 ) E ;
- boot_addr_i[6] + NET boot_addr_i[6] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 105423 ) E ;
+ FIXED ( 0 105423 ) E ;
- boot_addr_i[5] + NET boot_addr_i[5] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 107172 ) E ;
+ FIXED ( 0 107172 ) E ;
- boot_addr_i[4] + NET boot_addr_i[4] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 106863 ) E ;
+ FIXED ( 0 106863 ) E ;
- boot_addr_i[3] + NET boot_addr_i[3] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 103647 ) E ;
+ FIXED ( 0 103647 ) E ;
- boot_addr_i[2] + NET boot_addr_i[2] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 103455 ) E ;
+ FIXED ( 0 103455 ) E ;
- boot_addr_i[1] + NET boot_addr_i[1] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 101919 ) E ;
+ FIXED ( 0 101919 ) E ;
- boot_addr_i[0] + NET boot_addr_i[0] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 106239 ) E ;
+ FIXED ( 0 106239 ) E ;
- hart_id_i[63] + NET hart_id_i[63] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 111076 ) E ;
+ FIXED ( 0 111076 ) E ;
- hart_id_i[62] + NET hart_id_i[62] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 106767 ) E ;
+ FIXED ( 0 106767 ) E ;
- hart_id_i[61] + NET hart_id_i[61] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 106852 ) E ;
+ FIXED ( 0 106852 ) E ;
- hart_id_i[60] + NET hart_id_i[60] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 106724 ) E ;
+ FIXED ( 0 106724 ) E ;
- hart_id_i[59] + NET hart_id_i[59] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 107300 ) E ;
+ FIXED ( 0 107300 ) E ;
- hart_id_i[58] + NET hart_id_i[58] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 107247 ) E ;
+ FIXED ( 0 107247 ) E ;
- hart_id_i[57] + NET hart_id_i[57] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 107439 ) E ;
+ FIXED ( 0 107439 ) E ;
- hart_id_i[56] + NET hart_id_i[56] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 107812 ) E ;
+ FIXED ( 0 107812 ) E ;
- hart_id_i[55] + NET hart_id_i[55] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 107428 ) E ;
+ FIXED ( 0 107428 ) E ;
- hart_id_i[54] + NET hart_id_i[54] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 107775 ) E ;
+ FIXED ( 0 107775 ) E ;
- hart_id_i[53] + NET hart_id_i[53] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 107940 ) E ;
+ FIXED ( 0 107940 ) E ;
- hart_id_i[52] + NET hart_id_i[52] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 107535 ) E ;
+ FIXED ( 0 107535 ) E ;
- hart_id_i[51] + NET hart_id_i[51] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 108447 ) E ;
+ FIXED ( 0 108447 ) E ;
- hart_id_i[50] + NET hart_id_i[50] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 109983 ) E ;
+ FIXED ( 0 109983 ) E ;
- hart_id_i[49] + NET hart_id_i[49] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 109988 ) E ;
+ FIXED ( 0 109988 ) E ;
- hart_id_i[48] + NET hart_id_i[48] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 112623 ) E ;
+ FIXED ( 0 112623 ) E ;
- hart_id_i[47] + NET hart_id_i[47] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 113295 ) E ;
+ FIXED ( 0 113295 ) E ;
- hart_id_i[46] + NET hart_id_i[46] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 115492 ) E ;
+ FIXED ( 0 115492 ) E ;
- hart_id_i[45] + NET hart_id_i[45] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 116079 ) E ;
+ FIXED ( 0 116079 ) E ;
- hart_id_i[44] + NET hart_id_i[44] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 125631 ) E ;
+ FIXED ( 0 125631 ) E ;
- hart_id_i[43] + NET hart_id_i[43] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 136420 ) E ;
+ FIXED ( 0 136420 ) E ;
- hart_id_i[42] + NET hart_id_i[42] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 136548 ) E ;
+ FIXED ( 0 136548 ) E ;
- hart_id_i[41] + NET hart_id_i[41] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 137535 ) E ;
+ FIXED ( 0 137535 ) E ;
- hart_id_i[40] + NET hart_id_i[40] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 134367 ) E ;
+ FIXED ( 0 134367 ) E ;
- hart_id_i[39] + NET hart_id_i[39] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 139215 ) E ;
+ FIXED ( 0 139215 ) E ;
- hart_id_i[38] + NET hart_id_i[38] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 139695 ) E ;
+ FIXED ( 0 139695 ) E ;
- hart_id_i[37] + NET hart_id_i[37] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 140751 ) E ;
+ FIXED ( 0 140751 ) E ;
- hart_id_i[36] + NET hart_id_i[36] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 140772 ) E ;
+ FIXED ( 0 140772 ) E ;
- hart_id_i[35] + NET hart_id_i[35] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 138063 ) E ;
+ FIXED ( 0 138063 ) E ;
- hart_id_i[34] + NET hart_id_i[34] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 139684 ) E ;
+ FIXED ( 0 139684 ) E ;
- hart_id_i[33] + NET hart_id_i[33] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 139172 ) E ;
+ FIXED ( 0 139172 ) E ;
- hart_id_i[32] + NET hart_id_i[32] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 138591 ) E ;
+ FIXED ( 0 138591 ) E ;
- hart_id_i[31] + NET hart_id_i[31] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 139311 ) E ;
+ FIXED ( 0 139311 ) E ;
- hart_id_i[30] + NET hart_id_i[30] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 140319 ) E ;
+ FIXED ( 0 140319 ) E ;
- hart_id_i[29] + NET hart_id_i[29] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 135471 ) E ;
+ FIXED ( 0 135471 ) E ;
- hart_id_i[28] + NET hart_id_i[28] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 135460 ) E ;
+ FIXED ( 0 135460 ) E ;
- hart_id_i[27] + NET hart_id_i[27] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 137508 ) E ;
+ FIXED ( 0 137508 ) E ;
- hart_id_i[26] + NET hart_id_i[26] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 135903 ) E ;
+ FIXED ( 0 135903 ) E ;
- hart_id_i[25] + NET hart_id_i[25] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 138084 ) E ;
+ FIXED ( 0 138084 ) E ;
- hart_id_i[24] + NET hart_id_i[24] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 135375 ) E ;
+ FIXED ( 0 135375 ) E ;
- hart_id_i[23] + NET hart_id_i[23] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 135999 ) E ;
+ FIXED ( 0 135999 ) E ;
- hart_id_i[22] + NET hart_id_i[22] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 131583 ) E ;
+ FIXED ( 0 131583 ) E ;
- hart_id_i[21] + NET hart_id_i[21] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 132207 ) E ;
+ FIXED ( 0 132207 ) E ;
- hart_id_i[20] + NET hart_id_i[20] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 132783 ) E ;
+ FIXED ( 0 132783 ) E ;
- hart_id_i[19] + NET hart_id_i[19] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 134895 ) E ;
+ FIXED ( 0 134895 ) E ;
- hart_id_i[18] + NET hart_id_i[18] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 134799 ) E ;
+ FIXED ( 0 134799 ) E ;
- hart_id_i[17] + NET hart_id_i[17] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 137439 ) E ;
+ FIXED ( 0 137439 ) E ;
- hart_id_i[16] + NET hart_id_i[16] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 131679 ) E ;
+ FIXED ( 0 131679 ) E ;
- hart_id_i[15] + NET hart_id_i[15] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 140324 ) E ;
+ FIXED ( 0 140324 ) E ;
- hart_id_i[14] + NET hart_id_i[14] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 135567 ) E ;
+ FIXED ( 0 135567 ) E ;
- hart_id_i[13] + NET hart_id_i[13] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 138159 ) E ;
+ FIXED ( 0 138159 ) E ;
- hart_id_i[12] + NET hart_id_i[12] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 138596 ) E ;
+ FIXED ( 0 138596 ) E ;
- hart_id_i[11] + NET hart_id_i[11] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 137631 ) E ;
+ FIXED ( 0 137631 ) E ;
- hart_id_i[10] + NET hart_id_i[10] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 135332 ) E ;
+ FIXED ( 0 135332 ) E ;
- hart_id_i[9] + NET hart_id_i[9] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 129519 ) E ;
+ FIXED ( 0 129519 ) E ;
- hart_id_i[8] + NET hart_id_i[8] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 137055 ) E ;
+ FIXED ( 0 137055 ) E ;
- hart_id_i[7] + NET hart_id_i[7] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 128895 ) E ;
+ FIXED ( 0 128895 ) E ;
- hart_id_i[6] + NET hart_id_i[6] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 138212 ) E ;
+ FIXED ( 0 138212 ) E ;
- hart_id_i[5] + NET hart_id_i[5] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 128991 ) E ;
+ FIXED ( 0 128991 ) E ;
- hart_id_i[4] + NET hart_id_i[4] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 136575 ) E ;
+ FIXED ( 0 136575 ) E ;
- hart_id_i[3] + NET hart_id_i[3] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 127359 ) E ;
+ FIXED ( 0 127359 ) E ;
- hart_id_i[2] + NET hart_id_i[2] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 120351 ) E ;
+ FIXED ( 0 120351 ) E ;
- hart_id_i[1] + NET hart_id_i[1] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 125199 ) E ;
+ FIXED ( 0 125199 ) E ;
- hart_id_i[0] + NET hart_id_i[0] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 118719 ) E ;
+ FIXED ( 0 118719 ) E ;
- irq_i[1] + NET irq_i[1] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 129327 ) E ;
+ FIXED ( 0 129327 ) E ;
- irq_i[0] + NET irq_i[0] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 124575 ) E ;
+ FIXED ( 0 124575 ) E ;
- ipi_i + NET ipi_i + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 118095 ) E ;
+ FIXED ( 0 118095 ) E ;
- time_irq_i + NET time_irq_i + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 124047 ) E ;
+ FIXED ( 0 124047 ) E ;
- debug_req_i + NET debug_req_i + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 115364 ) E ;
+ FIXED ( 0 115364 ) E ;
- axi_req_o[277] + NET axi_req_o[277] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 202335 ) E ;
+ FIXED ( 0 202335 ) E ;
- axi_req_o[276] + NET axi_req_o[276] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 191055 ) E ;
+ FIXED ( 0 191055 ) E ;
- axi_req_o[275] + NET axi_req_o[275] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 206367 ) E ;
+ FIXED ( 0 206367 ) E ;
- axi_req_o[274] + NET axi_req_o[274] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 205839 ) E ;
+ FIXED ( 0 205839 ) E ;
- axi_req_o[273] + NET axi_req_o[273] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 178671 ) E ;
+ FIXED ( 0 178671 ) E ;
- axi_req_o[272] + NET axi_req_o[272] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 177471 ) E ;
+ FIXED ( 0 177471 ) E ;
- axi_req_o[271] + NET axi_req_o[271] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 177567 ) E ;
+ FIXED ( 0 177567 ) E ;
- axi_req_o[270] + NET axi_req_o[270] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 177039 ) E ;
+ FIXED ( 0 177039 ) E ;
- axi_req_o[269] + NET axi_req_o[269] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 177508 ) E ;
+ FIXED ( 0 177508 ) E ;
- axi_req_o[268] + NET axi_req_o[268] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 177999 ) E ;
+ FIXED ( 0 177999 ) E ;
- axi_req_o[267] + NET axi_req_o[267] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 178020 ) E ;
+ FIXED ( 0 178020 ) E ;
- axi_req_o[266] + NET axi_req_o[266] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 178575 ) E ;
+ FIXED ( 0 178575 ) E ;
- axi_req_o[265] + NET axi_req_o[265] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 192687 ) E ;
+ FIXED ( 0 192687 ) E ;
- axi_req_o[264] + NET axi_req_o[264] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 205023 ) E ;
+ FIXED ( 0 205023 ) E ;
- axi_req_o[263] + NET axi_req_o[263] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 197487 ) E ;
+ FIXED ( 0 197487 ) E ;
- axi_req_o[262] + NET axi_req_o[262] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 204591 ) E ;
+ FIXED ( 0 204591 ) E ;
- axi_req_o[261] + NET axi_req_o[261] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 194223 ) E ;
+ FIXED ( 0 194223 ) E ;
- axi_req_o[260] + NET axi_req_o[260] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 204495 ) E ;
+ FIXED ( 0 204495 ) E ;
- axi_req_o[259] + NET axi_req_o[259] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 204580 ) E ;
+ FIXED ( 0 204580 ) E ;
- axi_req_o[258] + NET axi_req_o[258] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 204687 ) E ;
+ FIXED ( 0 204687 ) E ;
- axi_req_o[257] + NET axi_req_o[257] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 205551 ) E ;
+ FIXED ( 0 205551 ) E ;
- axi_req_o[256] + NET axi_req_o[256] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 195951 ) E ;
+ FIXED ( 0 195951 ) E ;
- axi_req_o[255] + NET axi_req_o[255] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 205119 ) E ;
+ FIXED ( 0 205119 ) E ;
- axi_req_o[254] + NET axi_req_o[254] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 196911 ) E ;
+ FIXED ( 0 196911 ) E ;
- axi_req_o[253] + NET axi_req_o[253] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 195940 ) E ;
+ FIXED ( 0 195940 ) E ;
- axi_req_o[252] + NET axi_req_o[252] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 195375 ) E ;
+ FIXED ( 0 195375 ) E ;
- axi_req_o[251] + NET axi_req_o[251] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 195855 ) E ;
+ FIXED ( 0 195855 ) E ;
- axi_req_o[250] + NET axi_req_o[250] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 195428 ) E ;
+ FIXED ( 0 195428 ) E ;
- axi_req_o[249] + NET axi_req_o[249] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 194751 ) E ;
+ FIXED ( 0 194751 ) E ;
- axi_req_o[248] + NET axi_req_o[248] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 193695 ) E ;
+ FIXED ( 0 193695 ) E ;
- axi_req_o[247] + NET axi_req_o[247] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 194788 ) E ;
+ FIXED ( 0 194788 ) E ;
- axi_req_o[246] + NET axi_req_o[246] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 193263 ) E ;
+ FIXED ( 0 193263 ) E ;
- axi_req_o[245] + NET axi_req_o[245] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 193791 ) E ;
+ FIXED ( 0 193791 ) E ;
- axi_req_o[244] + NET axi_req_o[244] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 194847 ) E ;
+ FIXED ( 0 194847 ) E ;
- axi_req_o[243] + NET axi_req_o[243] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 194916 ) E ;
+ FIXED ( 0 194916 ) E ;
- axi_req_o[242] + NET axi_req_o[242] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 195279 ) E ;
+ FIXED ( 0 195279 ) E ;
- axi_req_o[241] + NET axi_req_o[241] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 195300 ) E ;
+ FIXED ( 0 195300 ) E ;
- axi_req_o[240] + NET axi_req_o[240] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 192591 ) E ;
+ FIXED ( 0 192591 ) E ;
- axi_req_o[239] + NET axi_req_o[239] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 191076 ) E ;
+ FIXED ( 0 191076 ) E ;
- axi_req_o[238] + NET axi_req_o[238] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 190431 ) E ;
+ FIXED ( 0 190431 ) E ;
- axi_req_o[237] + NET axi_req_o[237] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 189471 ) E ;
+ FIXED ( 0 189471 ) E ;
- axi_req_o[236] + NET axi_req_o[236] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 183519 ) E ;
+ FIXED ( 0 183519 ) E ;
- axi_req_o[235] + NET axi_req_o[235] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 184623 ) E ;
+ FIXED ( 0 184623 ) E ;
- axi_req_o[234] + NET axi_req_o[234] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 184527 ) E ;
+ FIXED ( 0 184527 ) E ;
- axi_req_o[233] + NET axi_req_o[233] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 181359 ) E ;
+ FIXED ( 0 181359 ) E ;
- axi_req_o[232] + NET axi_req_o[232] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 181791 ) E ;
+ FIXED ( 0 181791 ) E ;
- axi_req_o[231] + NET axi_req_o[231] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 182991 ) E ;
+ FIXED ( 0 182991 ) E ;
- axi_req_o[230] + NET axi_req_o[230] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 181887 ) E ;
+ FIXED ( 0 181887 ) E ;
- axi_req_o[229] + NET axi_req_o[229] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 183423 ) E ;
+ FIXED ( 0 183423 ) E ;
- axi_req_o[228] + NET axi_req_o[228] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 181796 ) E ;
+ FIXED ( 0 181796 ) E ;
- axi_req_o[227] + NET axi_req_o[227] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 182415 ) E ;
+ FIXED ( 0 182415 ) E ;
- axi_req_o[226] + NET axi_req_o[226] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 181348 ) E ;
+ FIXED ( 0 181348 ) E ;
- axi_req_o[225] + NET axi_req_o[225] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 181263 ) E ;
+ FIXED ( 0 181263 ) E ;
- axi_req_o[224] + NET axi_req_o[224] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 181455 ) E ;
+ FIXED ( 0 181455 ) E ;
- axi_req_o[223] + NET axi_req_o[223] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 181220 ) E ;
+ FIXED ( 0 181220 ) E ;
- axi_req_o[222] + NET axi_req_o[222] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 181167 ) E ;
+ FIXED ( 0 181167 ) E ;
- axi_req_o[221] + NET axi_req_o[221] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 182372 ) E ;
+ FIXED ( 0 182372 ) E ;
- axi_req_o[220] + NET axi_req_o[220] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 182511 ) E ;
+ FIXED ( 0 182511 ) E ;
- axi_req_o[219] + NET axi_req_o[219] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 181924 ) E ;
+ FIXED ( 0 181924 ) E ;
- axi_req_o[218] + NET axi_req_o[218] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 182319 ) E ;
+ FIXED ( 0 182319 ) E ;
- axi_req_o[217] + NET axi_req_o[217] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 181983 ) E ;
+ FIXED ( 0 181983 ) E ;
- axi_req_o[216] + NET axi_req_o[216] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 182052 ) E ;
+ FIXED ( 0 182052 ) E ;
- axi_req_o[215] + NET axi_req_o[215] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 182500 ) E ;
+ FIXED ( 0 182500 ) E ;
- axi_req_o[214] + NET axi_req_o[214] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 182607 ) E ;
+ FIXED ( 0 182607 ) E ;
- axi_req_o[213] + NET axi_req_o[213] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 199167 ) E ;
+ FIXED ( 0 199167 ) E ;
- axi_req_o[212] + NET axi_req_o[212] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 205028 ) E ;
+ FIXED ( 0 205028 ) E ;
- axi_req_o[211] + NET axi_req_o[211] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 204015 ) E ;
+ FIXED ( 0 204015 ) E ;
- axi_req_o[210] + NET axi_req_o[210] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 203487 ) E ;
+ FIXED ( 0 203487 ) E ;
- axi_req_o[209] + NET axi_req_o[209] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 159999 ) E ;
+ FIXED ( 0 159999 ) E ;
- axi_req_o[208] + NET axi_req_o[208] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 159972 ) E ;
+ FIXED ( 0 159972 ) E ;
- axi_req_o[207] + NET axi_req_o[207] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 160095 ) E ;
+ FIXED ( 0 160095 ) E ;
- axi_req_o[206] + NET axi_req_o[206] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 160100 ) E ;
+ FIXED ( 0 160100 ) E ;
- axi_req_o[205] + NET axi_req_o[205] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 159903 ) E ;
+ FIXED ( 0 159903 ) E ;
- axi_req_o[204] + NET axi_req_o[204] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 159844 ) E ;
+ FIXED ( 0 159844 ) E ;
- axi_req_o[203] + NET axi_req_o[203] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 160191 ) E ;
+ FIXED ( 0 160191 ) E ;
- axi_req_o[202] + NET axi_req_o[202] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 202959 ) E ;
+ FIXED ( 0 202959 ) E ;
- axi_req_o[201] + NET axi_req_o[201] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 159807 ) E ;
+ FIXED ( 0 159807 ) E ;
- axi_req_o[200] + NET axi_req_o[200] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 206175 ) E ;
+ FIXED ( 0 206175 ) E ;
- axi_req_o[199] + NET axi_req_o[199] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 206180 ) E ;
+ FIXED ( 0 206180 ) E ;
- axi_req_o[198] + NET axi_req_o[198] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 160228 ) E ;
+ FIXED ( 0 160228 ) E ;
- axi_req_o[197] + NET axi_req_o[197] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 206751 ) E ;
+ FIXED ( 0 206751 ) E ;
- axi_req_o[196] + NET axi_req_o[196] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 160287 ) E ;
+ FIXED ( 0 160287 ) E ;
- axi_req_o[195] + NET axi_req_o[195] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 159711 ) E ;
+ FIXED ( 0 159711 ) E ;
- axi_req_o[194] + NET axi_req_o[194] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 159716 ) E ;
+ FIXED ( 0 159716 ) E ;
- axi_req_o[193] + NET axi_req_o[193] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 160356 ) E ;
+ FIXED ( 0 160356 ) E ;
- axi_req_o[192] + NET axi_req_o[192] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 160383 ) E ;
+ FIXED ( 0 160383 ) E ;
- axi_req_o[191] + NET axi_req_o[191] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 159615 ) E ;
+ FIXED ( 0 159615 ) E ;
- axi_req_o[190] + NET axi_req_o[190] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 159588 ) E ;
+ FIXED ( 0 159588 ) E ;
- axi_req_o[189] + NET axi_req_o[189] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 160479 ) E ;
+ FIXED ( 0 160479 ) E ;
- axi_req_o[188] + NET axi_req_o[188] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 160484 ) E ;
+ FIXED ( 0 160484 ) E ;
- axi_req_o[187] + NET axi_req_o[187] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 159519 ) E ;
+ FIXED ( 0 159519 ) E ;
- axi_req_o[186] + NET axi_req_o[186] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 159460 ) E ;
+ FIXED ( 0 159460 ) E ;
- axi_req_o[185] + NET axi_req_o[185] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 160575 ) E ;
+ FIXED ( 0 160575 ) E ;
- axi_req_o[184] + NET axi_req_o[184] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 159423 ) E ;
+ FIXED ( 0 159423 ) E ;
- axi_req_o[183] + NET axi_req_o[183] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 160612 ) E ;
+ FIXED ( 0 160612 ) E ;
- axi_req_o[182] + NET axi_req_o[182] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 160671 ) E ;
+ FIXED ( 0 160671 ) E ;
- axi_req_o[181] + NET axi_req_o[181] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 159327 ) E ;
+ FIXED ( 0 159327 ) E ;
- axi_req_o[180] + NET axi_req_o[180] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 159332 ) E ;
+ FIXED ( 0 159332 ) E ;
- axi_req_o[179] + NET axi_req_o[179] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 160740 ) E ;
+ FIXED ( 0 160740 ) E ;
- axi_req_o[178] + NET axi_req_o[178] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 160767 ) E ;
+ FIXED ( 0 160767 ) E ;
- axi_req_o[177] + NET axi_req_o[177] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 159231 ) E ;
+ FIXED ( 0 159231 ) E ;
- axi_req_o[176] + NET axi_req_o[176] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 159204 ) E ;
+ FIXED ( 0 159204 ) E ;
- axi_req_o[175] + NET axi_req_o[175] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 160863 ) E ;
+ FIXED ( 0 160863 ) E ;
- axi_req_o[174] + NET axi_req_o[174] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 200463 ) E ;
+ FIXED ( 0 200463 ) E ;
- axi_req_o[173] + NET axi_req_o[173] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 218079 ) E ;
+ FIXED ( 0 218079 ) E ;
- axi_req_o[172] + NET axi_req_o[172] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 221775 ) E ;
+ FIXED ( 0 221775 ) E ;
- axi_req_o[171] + NET axi_req_o[171] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 219615 ) E ;
+ FIXED ( 0 219615 ) E ;
- axi_req_o[170] + NET axi_req_o[170] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 221796 ) E ;
+ FIXED ( 0 221796 ) E ;
- axi_req_o[169] + NET axi_req_o[169] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 218607 ) E ;
+ FIXED ( 0 218607 ) E ;
- axi_req_o[168] + NET axi_req_o[168] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 217983 ) E ;
+ FIXED ( 0 217983 ) E ;
- axi_req_o[167] + NET axi_req_o[167] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 221199 ) E ;
+ FIXED ( 0 221199 ) E ;
- axi_req_o[166] + NET axi_req_o[166] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 220767 ) E ;
+ FIXED ( 0 220767 ) E ;
- axi_req_o[165] + NET axi_req_o[165] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 222927 ) E ;
+ FIXED ( 0 222927 ) E ;
- axi_req_o[164] + NET axi_req_o[164] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 222303 ) E ;
+ FIXED ( 0 222303 ) E ;
- axi_req_o[163] + NET axi_req_o[163] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 222831 ) E ;
+ FIXED ( 0 222831 ) E ;
- axi_req_o[162] + NET axi_req_o[162] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 222399 ) E ;
+ FIXED ( 0 222399 ) E ;
- axi_req_o[161] + NET axi_req_o[161] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 222308 ) E ;
+ FIXED ( 0 222308 ) E ;
- axi_req_o[160] + NET axi_req_o[160] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 222948 ) E ;
+ FIXED ( 0 222948 ) E ;
- axi_req_o[159] + NET axi_req_o[159] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 221679 ) E ;
+ FIXED ( 0 221679 ) E ;
- axi_req_o[158] + NET axi_req_o[158] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 218596 ) E ;
+ FIXED ( 0 218596 ) E ;
- axi_req_o[157] + NET axi_req_o[157] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 214287 ) E ;
+ FIXED ( 0 214287 ) E ;
- axi_req_o[156] + NET axi_req_o[156] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 212655 ) E ;
+ FIXED ( 0 212655 ) E ;
- axi_req_o[155] + NET axi_req_o[155] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 213663 ) E ;
+ FIXED ( 0 213663 ) E ;
- axi_req_o[154] + NET axi_req_o[154] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 212559 ) E ;
+ FIXED ( 0 212559 ) E ;
- axi_req_o[153] + NET axi_req_o[153] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 214308 ) E ;
+ FIXED ( 0 214308 ) E ;
- axi_req_o[152] + NET axi_req_o[152] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 211599 ) E ;
+ FIXED ( 0 211599 ) E ;
- axi_req_o[151] + NET axi_req_o[151] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 212708 ) E ;
+ FIXED ( 0 212708 ) E ;
- axi_req_o[150] + NET axi_req_o[150] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 214191 ) E ;
+ FIXED ( 0 214191 ) E ;
- axi_req_o[149] + NET axi_req_o[149] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 211503 ) E ;
+ FIXED ( 0 211503 ) E ;
- axi_req_o[148] + NET axi_req_o[148] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 214180 ) E ;
+ FIXED ( 0 214180 ) E ;
- axi_req_o[147] + NET axi_req_o[147] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 212031 ) E ;
+ FIXED ( 0 212031 ) E ;
- axi_req_o[146] + NET axi_req_o[146] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 210447 ) E ;
+ FIXED ( 0 210447 ) E ;
- axi_req_o[145] + NET axi_req_o[145] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 212068 ) E ;
+ FIXED ( 0 212068 ) E ;
- axi_req_o[144] + NET axi_req_o[144] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 209967 ) E ;
+ FIXED ( 0 209967 ) E ;
- axi_req_o[143] + NET axi_req_o[143] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 210975 ) E ;
+ FIXED ( 0 210975 ) E ;
- axi_req_o[142] + NET axi_req_o[142] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 209871 ) E ;
+ FIXED ( 0 209871 ) E ;
- axi_req_o[141] + NET axi_req_o[141] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 218084 ) E ;
+ FIXED ( 0 218084 ) E ;
- axi_req_o[140] + NET axi_req_o[140] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 218175 ) E ;
+ FIXED ( 0 218175 ) E ;
- axi_req_o[139] + NET axi_req_o[139] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 217956 ) E ;
+ FIXED ( 0 217956 ) E ;
- axi_req_o[138] + NET axi_req_o[138] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 219183 ) E ;
+ FIXED ( 0 219183 ) E ;
- axi_req_o[137] + NET axi_req_o[137] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 217887 ) E ;
+ FIXED ( 0 217887 ) E ;
- axi_req_o[136] + NET axi_req_o[136] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 218703 ) E ;
+ FIXED ( 0 218703 ) E ;
- axi_req_o[135] + NET axi_req_o[135] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 217551 ) E ;
+ FIXED ( 0 217551 ) E ;
- axi_req_o[134] + NET axi_req_o[134] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 217508 ) E ;
+ FIXED ( 0 217508 ) E ;
- axi_req_o[133] + NET axi_req_o[133] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 220143 ) E ;
+ FIXED ( 0 220143 ) E ;
- axi_req_o[132] + NET axi_req_o[132] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 214815 ) E ;
+ FIXED ( 0 214815 ) E ;
- axi_req_o[131] + NET axi_req_o[131] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 220671 ) E ;
+ FIXED ( 0 220671 ) E ;
- axi_req_o[130] + NET axi_req_o[130] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 219620 ) E ;
+ FIXED ( 0 219620 ) E ;
- axi_req_o[129] + NET axi_req_o[129] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 220708 ) E ;
+ FIXED ( 0 220708 ) E ;
- axi_req_o[128] + NET axi_req_o[128] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 216927 ) E ;
+ FIXED ( 0 216927 ) E ;
- axi_req_o[127] + NET axi_req_o[127] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 219711 ) E ;
+ FIXED ( 0 219711 ) E ;
- axi_req_o[126] + NET axi_req_o[126] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 220575 ) E ;
+ FIXED ( 0 220575 ) E ;
- axi_req_o[125] + NET axi_req_o[125] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 210404 ) E ;
+ FIXED ( 0 210404 ) E ;
- axi_req_o[124] + NET axi_req_o[124] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 209956 ) E ;
+ FIXED ( 0 209956 ) E ;
- axi_req_o[123] + NET axi_req_o[123] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 211492 ) E ;
+ FIXED ( 0 211492 ) E ;
- axi_req_o[122] + NET axi_req_o[122] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 210543 ) E ;
+ FIXED ( 0 210543 ) E ;
- axi_req_o[121] + NET axi_req_o[121] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 209343 ) E ;
+ FIXED ( 0 209343 ) E ;
- axi_req_o[120] + NET axi_req_o[120] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 208335 ) E ;
+ FIXED ( 0 208335 ) E ;
- axi_req_o[119] + NET axi_req_o[119] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 206655 ) E ;
+ FIXED ( 0 206655 ) E ;
- axi_req_o[118] + NET axi_req_o[118] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 207183 ) E ;
+ FIXED ( 0 207183 ) E ;
- axi_req_o[117] + NET axi_req_o[117] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 211071 ) E ;
+ FIXED ( 0 211071 ) E ;
- axi_req_o[116] + NET axi_req_o[116] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 209439 ) E ;
+ FIXED ( 0 209439 ) E ;
- axi_req_o[115] + NET axi_req_o[115] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 207279 ) E ;
+ FIXED ( 0 207279 ) E ;
- axi_req_o[114] + NET axi_req_o[114] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 208815 ) E ;
+ FIXED ( 0 208815 ) E ;
- axi_req_o[113] + NET axi_req_o[113] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 207807 ) E ;
+ FIXED ( 0 207807 ) E ;
- axi_req_o[112] + NET axi_req_o[112] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 207711 ) E ;
+ FIXED ( 0 207711 ) E ;
- axi_req_o[111] + NET axi_req_o[111] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 206756 ) E ;
+ FIXED ( 0 206756 ) E ;
- axi_req_o[110] + NET axi_req_o[110] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 209380 ) E ;
+ FIXED ( 0 209380 ) E ;
- axi_req_o[109] + NET axi_req_o[109] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 210351 ) E ;
+ FIXED ( 0 210351 ) E ;
- axi_req_o[108] + NET axi_req_o[108] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 210980 ) E ;
+ FIXED ( 0 210980 ) E ;
- axi_req_o[107] + NET axi_req_o[107] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 207844 ) E ;
+ FIXED ( 0 207844 ) E ;
- axi_req_o[106] + NET axi_req_o[106] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 209247 ) E ;
+ FIXED ( 0 209247 ) E ;
- axi_req_o[105] + NET axi_req_o[105] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 210532 ) E ;
+ FIXED ( 0 210532 ) E ;
- axi_req_o[104] + NET axi_req_o[104] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 210639 ) E ;
+ FIXED ( 0 210639 ) E ;
- axi_req_o[103] + NET axi_req_o[103] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 207716 ) E ;
+ FIXED ( 0 207716 ) E ;
- axi_req_o[102] + NET axi_req_o[102] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 207615 ) E ;
+ FIXED ( 0 207615 ) E ;
- axi_req_o[101] + NET axi_req_o[101] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 201855 ) E ;
+ FIXED ( 0 201855 ) E ;
- axi_req_o[100] + NET axi_req_o[100] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 201615 ) E ;
+ FIXED ( 0 201615 ) E ;
- axi_req_o[99] + NET axi_req_o[99] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 208431 ) E ;
+ FIXED ( 0 208431 ) E ;
- axi_req_o[98] + NET axi_req_o[98] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 196719 ) E ;
+ FIXED ( 0 196719 ) E ;
- axi_req_o[97] + NET axi_req_o[97] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 189087 ) E ;
+ FIXED ( 0 189087 ) E ;
- axi_req_o[96] + NET axi_req_o[96] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 206847 ) E ;
+ FIXED ( 0 206847 ) E ;
- axi_req_o[95] + NET axi_req_o[95] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 206079 ) E ;
+ FIXED ( 0 206079 ) E ;
- axi_req_o[94] + NET axi_req_o[94] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 179103 ) E ;
+ FIXED ( 0 179103 ) E ;
- axi_req_o[93] + NET axi_req_o[93] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 177636 ) E ;
+ FIXED ( 0 177636 ) E ;
- axi_req_o[92] + NET axi_req_o[92] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 177375 ) E ;
+ FIXED ( 0 177375 ) E ;
- axi_req_o[91] + NET axi_req_o[91] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 177060 ) E ;
+ FIXED ( 0 177060 ) E ;
- axi_req_o[90] + NET axi_req_o[90] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 177380 ) E ;
+ FIXED ( 0 177380 ) E ;
- axi_req_o[89] + NET axi_req_o[89] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 178095 ) E ;
+ FIXED ( 0 178095 ) E ;
- axi_req_o[88] + NET axi_req_o[88] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 178148 ) E ;
+ FIXED ( 0 178148 ) E ;
- axi_req_o[87] + NET axi_req_o[87] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 178191 ) E ;
+ FIXED ( 0 178191 ) E ;
- axi_req_o[86] + NET axi_req_o[86] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 191631 ) E ;
+ FIXED ( 0 191631 ) E ;
- axi_req_o[85] + NET axi_req_o[85] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 203919 ) E ;
+ FIXED ( 0 203919 ) E ;
- axi_req_o[84] + NET axi_req_o[84] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 196479 ) E ;
+ FIXED ( 0 196479 ) E ;
- axi_req_o[83] + NET axi_req_o[83] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 203940 ) E ;
+ FIXED ( 0 203940 ) E ;
- axi_req_o[82] + NET axi_req_o[82] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 193764 ) E ;
+ FIXED ( 0 193764 ) E ;
- axi_req_o[81] + NET axi_req_o[81] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 204068 ) E ;
+ FIXED ( 0 204068 ) E ;
- axi_req_o[80] + NET axi_req_o[80] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 204111 ) E ;
+ FIXED ( 0 204111 ) E ;
- axi_req_o[79] + NET axi_req_o[79] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 204207 ) E ;
+ FIXED ( 0 204207 ) E ;
- axi_req_o[78] + NET axi_req_o[78] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 203492 ) E ;
+ FIXED ( 0 203492 ) E ;
- axi_req_o[77] + NET axi_req_o[77] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 195183 ) E ;
+ FIXED ( 0 195183 ) E ;
- axi_req_o[76] + NET axi_req_o[76] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 203583 ) E ;
+ FIXED ( 0 203583 ) E ;
- axi_req_o[75] + NET axi_req_o[75] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 196383 ) E ;
+ FIXED ( 0 196383 ) E ;
- axi_req_o[74] + NET axi_req_o[74] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 195812 ) E ;
+ FIXED ( 0 195812 ) E ;
- axi_req_o[73] + NET axi_req_o[73] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 194943 ) E ;
+ FIXED ( 0 194943 ) E ;
- axi_req_o[72] + NET axi_req_o[72] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 195172 ) E ;
+ FIXED ( 0 195172 ) E ;
- axi_req_o[71] + NET axi_req_o[71] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 195039 ) E ;
+ FIXED ( 0 195039 ) E ;
- axi_req_o[70] + NET axi_req_o[70] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 194319 ) E ;
+ FIXED ( 0 194319 ) E ;
- axi_req_o[69] + NET axi_req_o[69] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 193252 ) E ;
+ FIXED ( 0 193252 ) E ;
- axi_req_o[68] + NET axi_req_o[68] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 194340 ) E ;
+ FIXED ( 0 194340 ) E ;
- axi_req_o[67] + NET axi_req_o[67] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 193167 ) E ;
+ FIXED ( 0 193167 ) E ;
- axi_req_o[66] + NET axi_req_o[66] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 193124 ) E ;
+ FIXED ( 0 193124 ) E ;
- axi_req_o[65] + NET axi_req_o[65] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 193887 ) E ;
+ FIXED ( 0 193887 ) E ;
- axi_req_o[64] + NET axi_req_o[64] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 194212 ) E ;
+ FIXED ( 0 194212 ) E ;
- axi_req_o[63] + NET axi_req_o[63] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 194415 ) E ;
+ FIXED ( 0 194415 ) E ;
- axi_req_o[62] + NET axi_req_o[62] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 194655 ) E ;
+ FIXED ( 0 194655 ) E ;
- axi_req_o[61] + NET axi_req_o[61] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 192063 ) E ;
+ FIXED ( 0 192063 ) E ;
- axi_req_o[60] + NET axi_req_o[60] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 190527 ) E ;
+ FIXED ( 0 190527 ) E ;
- axi_req_o[59] + NET axi_req_o[59] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 189903 ) E ;
+ FIXED ( 0 189903 ) E ;
- axi_req_o[58] + NET axi_req_o[58] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 189375 ) E ;
+ FIXED ( 0 189375 ) E ;
- axi_req_o[57] + NET axi_req_o[57] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 182948 ) E ;
+ FIXED ( 0 182948 ) E ;
- axi_req_o[56] + NET axi_req_o[56] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 184047 ) E ;
+ FIXED ( 0 184047 ) E ;
- axi_req_o[55] + NET axi_req_o[55] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 183951 ) E ;
+ FIXED ( 0 183951 ) E ;
- axi_req_o[54] + NET axi_req_o[54] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 180255 ) E ;
+ FIXED ( 0 180255 ) E ;
- axi_req_o[53] + NET axi_req_o[53] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 181476 ) E ;
+ FIXED ( 0 181476 ) E ;
- axi_req_o[52] + NET axi_req_o[52] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 182223 ) E ;
+ FIXED ( 0 182223 ) E ;
- axi_req_o[51] + NET axi_req_o[51] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 181551 ) E ;
+ FIXED ( 0 181551 ) E ;
- axi_req_o[50] + NET axi_req_o[50] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 182895 ) E ;
+ FIXED ( 0 182895 ) E ;
- axi_req_o[49] + NET axi_req_o[49] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 181071 ) E ;
+ FIXED ( 0 181071 ) E ;
- axi_req_o[48] + NET axi_req_o[48] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 181695 ) E ;
+ FIXED ( 0 181695 ) E ;
- axi_req_o[47] + NET axi_req_o[47] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 181092 ) E ;
+ FIXED ( 0 181092 ) E ;
- axi_req_o[46] + NET axi_req_o[46] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 180831 ) E ;
+ FIXED ( 0 180831 ) E ;
- axi_req_o[45] + NET axi_req_o[45] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 180735 ) E ;
+ FIXED ( 0 180735 ) E ;
- axi_req_o[44] + NET axi_req_o[44] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 180708 ) E ;
+ FIXED ( 0 180708 ) E ;
- axi_req_o[43] + NET axi_req_o[43] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 180836 ) E ;
+ FIXED ( 0 180836 ) E ;
- axi_req_o[42] + NET axi_req_o[42] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 181668 ) E ;
+ FIXED ( 0 181668 ) E ;
- axi_req_o[41] + NET axi_req_o[41] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 182244 ) E ;
+ FIXED ( 0 182244 ) E ;
- axi_req_o[40] + NET axi_req_o[40] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 180975 ) E ;
+ FIXED ( 0 180975 ) E ;
- axi_req_o[39] + NET axi_req_o[39] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 180964 ) E ;
+ FIXED ( 0 180964 ) E ;
- axi_req_o[38] + NET axi_req_o[38] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 180639 ) E ;
+ FIXED ( 0 180639 ) E ;
- axi_req_o[37] + NET axi_req_o[37] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 180580 ) E ;
+ FIXED ( 0 180580 ) E ;
- axi_req_o[36] + NET axi_req_o[36] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 182079 ) E ;
+ FIXED ( 0 182079 ) E ;
- axi_req_o[35] + NET axi_req_o[35] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 180543 ) E ;
+ FIXED ( 0 180543 ) E ;
- axi_req_o[34] + NET axi_req_o[34] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 198639 ) E ;
+ FIXED ( 0 198639 ) E ;
- axi_req_o[33] + NET axi_req_o[33] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 204452 ) E ;
+ FIXED ( 0 204452 ) E ;
- axi_req_o[32] + NET axi_req_o[32] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 203823 ) E ;
+ FIXED ( 0 203823 ) E ;
- axi_req_o[31] + NET axi_req_o[31] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 203391 ) E ;
+ FIXED ( 0 203391 ) E ;
- axi_req_o[30] + NET axi_req_o[30] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 160868 ) E ;
+ FIXED ( 0 160868 ) E ;
- axi_req_o[29] + NET axi_req_o[29] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 159135 ) E ;
+ FIXED ( 0 159135 ) E ;
- axi_req_o[28] + NET axi_req_o[28] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 159076 ) E ;
+ FIXED ( 0 159076 ) E ;
- axi_req_o[27] + NET axi_req_o[27] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 160959 ) E ;
+ FIXED ( 0 160959 ) E ;
- axi_req_o[26] + NET axi_req_o[26] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 159039 ) E ;
+ FIXED ( 0 159039 ) E ;
- axi_req_o[25] + NET axi_req_o[25] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 160996 ) E ;
+ FIXED ( 0 160996 ) E ;
- axi_req_o[24] + NET axi_req_o[24] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 161055 ) E ;
+ FIXED ( 0 161055 ) E ;
- axi_req_o[23] + NET axi_req_o[23] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 203364 ) E ;
+ FIXED ( 0 203364 ) E ;
- axi_req_o[22] + NET axi_req_o[22] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 158943 ) E ;
+ FIXED ( 0 158943 ) E ;
- axi_req_o[21] + NET axi_req_o[21] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 206628 ) E ;
+ FIXED ( 0 206628 ) E ;
- axi_req_o[20] + NET axi_req_o[20] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 206052 ) E ;
+ FIXED ( 0 206052 ) E ;
- axi_req_o[19] + NET axi_req_o[19] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 158948 ) E ;
+ FIXED ( 0 158948 ) E ;
- axi_req_o[18] + NET axi_req_o[18] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 206884 ) E ;
+ FIXED ( 0 206884 ) E ;
- axi_req_o[17] + NET axi_req_o[17] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 161124 ) E ;
+ FIXED ( 0 161124 ) E ;
- axi_req_o[16] + NET axi_req_o[16] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 161151 ) E ;
+ FIXED ( 0 161151 ) E ;
- axi_req_o[15] + NET axi_req_o[15] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 158847 ) E ;
+ FIXED ( 0 158847 ) E ;
- axi_req_o[14] + NET axi_req_o[14] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 158820 ) E ;
+ FIXED ( 0 158820 ) E ;
- axi_req_o[13] + NET axi_req_o[13] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 161247 ) E ;
+ FIXED ( 0 161247 ) E ;
- axi_req_o[12] + NET axi_req_o[12] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 190959 ) E ;
+ FIXED ( 0 190959 ) E ;
- axi_req_o[11] + NET axi_req_o[11] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 161252 ) E ;
+ FIXED ( 0 161252 ) E ;
- axi_req_o[10] + NET axi_req_o[10] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 173727 ) E ;
+ FIXED ( 0 173727 ) E ;
- axi_req_o[9] + NET axi_req_o[9] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 158751 ) E ;
+ FIXED ( 0 158751 ) E ;
- axi_req_o[8] + NET axi_req_o[8] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 158692 ) E ;
+ FIXED ( 0 158692 ) E ;
- axi_req_o[7] + NET axi_req_o[7] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 161343 ) E ;
+ FIXED ( 0 161343 ) E ;
- axi_req_o[6] + NET axi_req_o[6] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 158655 ) E ;
+ FIXED ( 0 158655 ) E ;
- axi_req_o[5] + NET axi_req_o[5] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 161380 ) E ;
+ FIXED ( 0 161380 ) E ;
- axi_req_o[4] + NET axi_req_o[4] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 161439 ) E ;
+ FIXED ( 0 161439 ) E ;
- axi_req_o[3] + NET axi_req_o[3] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 158559 ) E ;
+ FIXED ( 0 158559 ) E ;
- axi_req_o[2] + NET axi_req_o[2] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 158564 ) E ;
+ FIXED ( 0 158564 ) E ;
- axi_req_o[1] + NET axi_req_o[1] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 196047 ) E ;
+ FIXED ( 0 196047 ) E ;
- axi_req_o[0] + NET axi_req_o[0] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 200799 ) E ;
+ FIXED ( 0 200799 ) E ;
- axi_resp_i[81] + NET axi_resp_i[81] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 200868 ) E ;
+ FIXED ( 0 200868 ) E ;
- axi_resp_i[80] + NET axi_resp_i[80] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 196452 ) E ;
+ FIXED ( 0 196452 ) E ;
- axi_resp_i[79] + NET axi_resp_i[79] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 201183 ) E ;
+ FIXED ( 0 201183 ) E ;
- axi_resp_i[78] + NET axi_resp_i[78] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 212127 ) E ;
+ FIXED ( 0 212127 ) E ;
- axi_resp_i[77] + NET axi_resp_i[77] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 225903 ) E ;
+ FIXED ( 0 225903 ) E ;
- axi_resp_i[76] + NET axi_resp_i[76] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 225663 ) E ;
+ FIXED ( 0 225663 ) E ;
- axi_resp_i[75] + NET axi_resp_i[75] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 226767 ) E ;
+ FIXED ( 0 226767 ) E ;
- axi_resp_i[74] + NET axi_resp_i[74] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 226724 ) E ;
+ FIXED ( 0 226724 ) E ;
- axi_resp_i[73] + NET axi_resp_i[73] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 161508 ) E ;
+ FIXED ( 0 161508 ) E ;
- axi_resp_i[72] + NET axi_resp_i[72] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 161535 ) E ;
+ FIXED ( 0 161535 ) E ;
- axi_resp_i[71] + NET axi_resp_i[71] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 200484 ) E ;
+ FIXED ( 0 200484 ) E ;
- axi_resp_i[70] + NET axi_resp_i[70] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 224223 ) E ;
+ FIXED ( 0 224223 ) E ;
- axi_resp_i[69] + NET axi_resp_i[69] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 223887 ) E ;
+ FIXED ( 0 223887 ) E ;
- axi_resp_i[68] + NET axi_resp_i[68] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 225519 ) E ;
+ FIXED ( 0 225519 ) E ;
- axi_resp_i[67] + NET axi_resp_i[67] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 225508 ) E ;
+ FIXED ( 0 225508 ) E ;
- axi_resp_i[66] + NET axi_resp_i[66] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 178596 ) E ;
+ FIXED ( 0 178596 ) E ;
- axi_resp_i[65] + NET axi_resp_i[65] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 175647 ) E ;
+ FIXED ( 0 175647 ) E ;
- axi_resp_i[64] + NET axi_resp_i[64] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 176847 ) E ;
+ FIXED ( 0 176847 ) E ;
- axi_resp_i[63] + NET axi_resp_i[63] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 176559 ) E ;
+ FIXED ( 0 176559 ) E ;
- axi_resp_i[62] + NET axi_resp_i[62] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 179295 ) E ;
+ FIXED ( 0 179295 ) E ;
- axi_resp_i[61] + NET axi_resp_i[61] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 178479 ) E ;
+ FIXED ( 0 178479 ) E ;
- axi_resp_i[60] + NET axi_resp_i[60] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 177903 ) E ;
+ FIXED ( 0 177903 ) E ;
- axi_resp_i[59] + NET axi_resp_i[59] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 178468 ) E ;
+ FIXED ( 0 178468 ) E ;
- axi_resp_i[58] + NET axi_resp_i[58] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 180111 ) E ;
+ FIXED ( 0 180111 ) E ;
- axi_resp_i[57] + NET axi_resp_i[57] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 180068 ) E ;
+ FIXED ( 0 180068 ) E ;
- axi_resp_i[56] + NET axi_resp_i[56] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 188559 ) E ;
+ FIXED ( 0 188559 ) E ;
- axi_resp_i[55] + NET axi_resp_i[55] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 188463 ) E ;
+ FIXED ( 0 188463 ) E ;
- axi_resp_i[54] + NET axi_resp_i[54] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 187887 ) E ;
+ FIXED ( 0 187887 ) E ;
- axi_resp_i[53] + NET axi_resp_i[53] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 187791 ) E ;
+ FIXED ( 0 187791 ) E ;
- axi_resp_i[52] + NET axi_resp_i[52] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 188079 ) E ;
+ FIXED ( 0 188079 ) E ;
- axi_resp_i[51] + NET axi_resp_i[51] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 187359 ) E ;
+ FIXED ( 0 187359 ) E ;
- axi_resp_i[50] + NET axi_resp_i[50] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 187940 ) E ;
+ FIXED ( 0 187940 ) E ;
- axi_resp_i[49] + NET axi_resp_i[49] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 185391 ) E ;
+ FIXED ( 0 185391 ) E ;
- axi_resp_i[48] + NET axi_resp_i[48] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 185444 ) E ;
+ FIXED ( 0 185444 ) E ;
- axi_resp_i[47] + NET axi_resp_i[47] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 184959 ) E ;
+ FIXED ( 0 184959 ) E ;
- axi_resp_i[46] + NET axi_resp_i[46] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 184719 ) E ;
+ FIXED ( 0 184719 ) E ;
- axi_resp_i[45] + NET axi_resp_i[45] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 184239 ) E ;
+ FIXED ( 0 184239 ) E ;
- axi_resp_i[44] + NET axi_resp_i[44] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 187364 ) E ;
+ FIXED ( 0 187364 ) E ;
- axi_resp_i[43] + NET axi_resp_i[43] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 185967 ) E ;
+ FIXED ( 0 185967 ) E ;
- axi_resp_i[42] + NET axi_resp_i[42] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 182628 ) E ;
+ FIXED ( 0 182628 ) E ;
- axi_resp_i[41] + NET axi_resp_i[41] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 186639 ) E ;
+ FIXED ( 0 186639 ) E ;
- axi_resp_i[40] + NET axi_resp_i[40] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 183460 ) E ;
+ FIXED ( 0 183460 ) E ;
- axi_resp_i[39] + NET axi_resp_i[39] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 182703 ) E ;
+ FIXED ( 0 182703 ) E ;
- axi_resp_i[38] + NET axi_resp_i[38] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 186351 ) E ;
+ FIXED ( 0 186351 ) E ;
- axi_resp_i[37] + NET axi_resp_i[37] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 185199 ) E ;
+ FIXED ( 0 185199 ) E ;
- axi_resp_i[36] + NET axi_resp_i[36] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 185103 ) E ;
+ FIXED ( 0 185103 ) E ;
- axi_resp_i[35] + NET axi_resp_i[35] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 180447 ) E ;
+ FIXED ( 0 180447 ) E ;
- axi_resp_i[34] + NET axi_resp_i[34] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 195471 ) E ;
+ FIXED ( 0 195471 ) E ;
- axi_resp_i[33] + NET axi_resp_i[33] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 196239 ) E ;
+ FIXED ( 0 196239 ) E ;
- axi_resp_i[32] + NET axi_resp_i[32] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 196964 ) E ;
+ FIXED ( 0 196964 ) E ;
- axi_resp_i[31] + NET axi_resp_i[31] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 196772 ) E ;
+ FIXED ( 0 196772 ) E ;
- axi_resp_i[30] + NET axi_resp_i[30] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 196068 ) E ;
+ FIXED ( 0 196068 ) E ;
- axi_resp_i[29] + NET axi_resp_i[29] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 196143 ) E ;
+ FIXED ( 0 196143 ) E ;
- axi_resp_i[28] + NET axi_resp_i[28] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 190948 ) E ;
+ FIXED ( 0 190948 ) E ;
- axi_resp_i[27] + NET axi_resp_i[27] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 190143 ) E ;
+ FIXED ( 0 190143 ) E ;
- axi_resp_i[26] + NET axi_resp_i[26] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 189807 ) E ;
+ FIXED ( 0 189807 ) E ;
- axi_resp_i[25] + NET axi_resp_i[25] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 188847 ) E ;
+ FIXED ( 0 188847 ) E ;
- axi_resp_i[24] + NET axi_resp_i[24] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 189999 ) E ;
+ FIXED ( 0 189999 ) E ;
- axi_resp_i[23] + NET axi_resp_i[23] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 189183 ) E ;
+ FIXED ( 0 189183 ) E ;
- axi_resp_i[22] + NET axi_resp_i[22] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 189615 ) E ;
+ FIXED ( 0 189615 ) E ;
- axi_resp_i[21] + NET axi_resp_i[21] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 189988 ) E ;
+ FIXED ( 0 189988 ) E ;
- axi_resp_i[20] + NET axi_resp_i[20] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 190287 ) E ;
+ FIXED ( 0 190287 ) E ;
- axi_resp_i[19] + NET axi_resp_i[19] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 189279 ) E ;
+ FIXED ( 0 189279 ) E ;
- axi_resp_i[18] + NET axi_resp_i[18] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 183972 ) E ;
+ FIXED ( 0 183972 ) E ;
- axi_resp_i[17] + NET axi_resp_i[17] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 183759 ) E ;
+ FIXED ( 0 183759 ) E ;
- axi_resp_i[16] + NET axi_resp_i[16] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 182756 ) E ;
+ FIXED ( 0 182756 ) E ;
- axi_resp_i[15] + NET axi_resp_i[15] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 183183 ) E ;
+ FIXED ( 0 183183 ) E ;
- axi_resp_i[14] + NET axi_resp_i[14] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 184335 ) E ;
+ FIXED ( 0 184335 ) E ;
- axi_resp_i[13] + NET axi_resp_i[13] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 182799 ) E ;
+ FIXED ( 0 182799 ) E ;
- axi_resp_i[12] + NET axi_resp_i[12] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 177759 ) E ;
+ FIXED ( 0 177759 ) E ;
- axi_resp_i[11] + NET axi_resp_i[11] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 176943 ) E ;
+ FIXED ( 0 176943 ) E ;
- axi_resp_i[10] + NET axi_resp_i[10] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 183327 ) E ;
+ FIXED ( 0 183327 ) E ;
- axi_resp_i[9] + NET axi_resp_i[9] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 180452 ) E ;
+ FIXED ( 0 180452 ) E ;
- axi_resp_i[8] + NET axi_resp_i[8] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 177183 ) E ;
+ FIXED ( 0 177183 ) E ;
- axi_resp_i[7] + NET axi_resp_i[7] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 180351 ) E ;
+ FIXED ( 0 180351 ) E ;
- axi_resp_i[6] + NET axi_resp_i[6] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 180324 ) E ;
+ FIXED ( 0 180324 ) E ;
- axi_resp_i[5] + NET axi_resp_i[5] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 180196 ) E ;
+ FIXED ( 0 180196 ) E ;
- axi_resp_i[4] + NET axi_resp_i[4] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 180015 ) E ;
+ FIXED ( 0 180015 ) E ;
- axi_resp_i[3] + NET axi_resp_i[3] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 183087 ) E ;
+ FIXED ( 0 183087 ) E ;
- axi_resp_i[2] + NET axi_resp_i[2] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 158463 ) E ;
+ FIXED ( 0 158463 ) E ;
- axi_resp_i[1] + NET axi_resp_i[1] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 158436 ) E ;
+ FIXED ( 0 158436 ) E ;
- axi_resp_i[0] + NET axi_resp_i[0] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 184996 ) E ;
+ FIXED ( 0 184996 ) E ;
END PINS
END DESIGN
......@@ -2724,1489 +2724,1489 @@ END COMPONENTS
PINS 495 ;
- clk_i + NET clk_i + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 136479 ) E ;
+ FIXED ( 0 136479 ) E ;
- rst_ni + NET rst_ni + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 161631 ) E ;
+ FIXED ( 0 161631 ) E ;
- boot_addr_i[63] + NET boot_addr_i[63] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 97647 ) E ;
+ FIXED ( 0 97647 ) E ;
- boot_addr_i[62] + NET boot_addr_i[62] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 97743 ) E ;
+ FIXED ( 0 97743 ) E ;
- boot_addr_i[61] + NET boot_addr_i[61] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 96063 ) E ;
+ FIXED ( 0 96063 ) E ;
- boot_addr_i[60] + NET boot_addr_i[60] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 97764 ) E ;
+ FIXED ( 0 97764 ) E ;
- boot_addr_i[59] + NET boot_addr_i[59] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 96543 ) E ;
+ FIXED ( 0 96543 ) E ;
- boot_addr_i[58] + NET boot_addr_i[58] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 97551 ) E ;
+ FIXED ( 0 97551 ) E ;
- boot_addr_i[57] + NET boot_addr_i[57] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 96831 ) E ;
+ FIXED ( 0 96831 ) E ;
- boot_addr_i[56] + NET boot_addr_i[56] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 97071 ) E ;
+ FIXED ( 0 97071 ) E ;
- boot_addr_i[55] + NET boot_addr_i[55] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 96036 ) E ;
+ FIXED ( 0 96036 ) E ;
- boot_addr_i[54] + NET boot_addr_i[54] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 96735 ) E ;
+ FIXED ( 0 96735 ) E ;
- boot_addr_i[53] + NET boot_addr_i[53] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 96303 ) E ;
+ FIXED ( 0 96303 ) E ;
- boot_addr_i[52] + NET boot_addr_i[52] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 97124 ) E ;
+ FIXED ( 0 97124 ) E ;
- boot_addr_i[51] + NET boot_addr_i[51] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 96484 ) E ;
+ FIXED ( 0 96484 ) E ;
- boot_addr_i[50] + NET boot_addr_i[50] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 97572 ) E ;
+ FIXED ( 0 97572 ) E ;
- boot_addr_i[49] + NET boot_addr_i[49] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 97263 ) E ;
+ FIXED ( 0 97263 ) E ;
- boot_addr_i[48] + NET boot_addr_i[48] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 98511 ) E ;
+ FIXED ( 0 98511 ) E ;
- boot_addr_i[47] + NET boot_addr_i[47] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 98079 ) E ;
+ FIXED ( 0 98079 ) E ;
- boot_addr_i[46] + NET boot_addr_i[46] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 100527 ) E ;
+ FIXED ( 0 100527 ) E ;
- boot_addr_i[45] + NET boot_addr_i[45] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 100239 ) E ;
+ FIXED ( 0 100239 ) E ;
- boot_addr_i[44] + NET boot_addr_i[44] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 109839 ) E ;
+ FIXED ( 0 109839 ) E ;
- boot_addr_i[43] + NET boot_addr_i[43] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 111039 ) E ;
+ FIXED ( 0 111039 ) E ;
- boot_addr_i[42] + NET boot_addr_i[42] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 113679 ) E ;
+ FIXED ( 0 113679 ) E ;
- boot_addr_i[41] + NET boot_addr_i[41] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 113487 ) E ;
+ FIXED ( 0 113487 ) E ;
- boot_addr_i[40] + NET boot_addr_i[40] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 115455 ) E ;
+ FIXED ( 0 115455 ) E ;
- boot_addr_i[39] + NET boot_addr_i[39] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 114015 ) E ;
+ FIXED ( 0 114015 ) E ;
- boot_addr_i[38] + NET boot_addr_i[38] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 115983 ) E ;
+ FIXED ( 0 115983 ) E ;
- boot_addr_i[37] + NET boot_addr_i[37] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 113823 ) E ;
+ FIXED ( 0 113823 ) E ;
- boot_addr_i[36] + NET boot_addr_i[36] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 116004 ) E ;
+ FIXED ( 0 116004 ) E ;
- boot_addr_i[35] + NET boot_addr_i[35] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 113199 ) E ;
+ FIXED ( 0 113199 ) E ;
- boot_addr_i[34] + NET boot_addr_i[34] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 115071 ) E ;
+ FIXED ( 0 115071 ) E ;
- boot_addr_i[33] + NET boot_addr_i[33] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 112719 ) E ;
+ FIXED ( 0 112719 ) E ;
- boot_addr_i[32] + NET boot_addr_i[32] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 115044 ) E ;
+ FIXED ( 0 115044 ) E ;
- boot_addr_i[31] + NET boot_addr_i[31] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 113252 ) E ;
+ FIXED ( 0 113252 ) E ;
- boot_addr_i[30] + NET boot_addr_i[30] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 115599 ) E ;
+ FIXED ( 0 115599 ) E ;
- boot_addr_i[29] + NET boot_addr_i[29] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 112239 ) E ;
+ FIXED ( 0 112239 ) E ;
- boot_addr_i[28] + NET boot_addr_i[28] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 114975 ) E ;
+ FIXED ( 0 114975 ) E ;
- boot_addr_i[27] + NET boot_addr_i[27] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 111951 ) E ;
+ FIXED ( 0 111951 ) E ;
- boot_addr_i[26] + NET boot_addr_i[26] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 115359 ) E ;
+ FIXED ( 0 115359 ) E ;
- boot_addr_i[25] + NET boot_addr_i[25] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 112383 ) E ;
+ FIXED ( 0 112383 ) E ;
- boot_addr_i[24] + NET boot_addr_i[24] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 114543 ) E ;
+ FIXED ( 0 114543 ) E ;
- boot_addr_i[23] + NET boot_addr_i[23] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 112740 ) E ;
+ FIXED ( 0 112740 ) E ;
- boot_addr_i[22] + NET boot_addr_i[22] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 110511 ) E ;
+ FIXED ( 0 110511 ) E ;
- boot_addr_i[21] + NET boot_addr_i[21] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 109796 ) E ;
+ FIXED ( 0 109796 ) E ;
- boot_addr_i[20] + NET boot_addr_i[20] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 111807 ) E ;
+ FIXED ( 0 111807 ) E ;
- boot_addr_i[19] + NET boot_addr_i[19] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 112420 ) E ;
+ FIXED ( 0 112420 ) E ;
- boot_addr_i[18] + NET boot_addr_i[18] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 112527 ) E ;
+ FIXED ( 0 112527 ) E ;
- boot_addr_i[17] + NET boot_addr_i[17] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 111972 ) E ;
+ FIXED ( 0 111972 ) E ;
- boot_addr_i[16] + NET boot_addr_i[16] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 109263 ) E ;
+ FIXED ( 0 109263 ) E ;
- boot_addr_i[15] + NET boot_addr_i[15] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 110564 ) E ;
+ FIXED ( 0 110564 ) E ;
- boot_addr_i[14] + NET boot_addr_i[14] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 108831 ) E ;
+ FIXED ( 0 108831 ) E ;
- boot_addr_i[13] + NET boot_addr_i[13] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 108207 ) E ;
+ FIXED ( 0 108207 ) E ;
- boot_addr_i[12] + NET boot_addr_i[12] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 109455 ) E ;
+ FIXED ( 0 109455 ) E ;
- boot_addr_i[11] + NET boot_addr_i[11] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 107343 ) E ;
+ FIXED ( 0 107343 ) E ;
- boot_addr_i[10] + NET boot_addr_i[10] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 107871 ) E ;
+ FIXED ( 0 107871 ) E ;
- boot_addr_i[9] + NET boot_addr_i[9] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 106527 ) E ;
+ FIXED ( 0 106527 ) E ;
- boot_addr_i[8] + NET boot_addr_i[8] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 107151 ) E ;
+ FIXED ( 0 107151 ) E ;
- boot_addr_i[7] + NET boot_addr_i[7] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 106047 ) E ;
+ FIXED ( 0 106047 ) E ;
- boot_addr_i[6] + NET boot_addr_i[6] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 105423 ) E ;
+ FIXED ( 0 105423 ) E ;
- boot_addr_i[5] + NET boot_addr_i[5] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 107172 ) E ;
+ FIXED ( 0 107172 ) E ;
- boot_addr_i[4] + NET boot_addr_i[4] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 106863 ) E ;
+ FIXED ( 0 106863 ) E ;
- boot_addr_i[3] + NET boot_addr_i[3] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 103647 ) E ;
+ FIXED ( 0 103647 ) E ;
- boot_addr_i[2] + NET boot_addr_i[2] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 103455 ) E ;
+ FIXED ( 0 103455 ) E ;
- boot_addr_i[1] + NET boot_addr_i[1] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 101919 ) E ;
+ FIXED ( 0 101919 ) E ;
- boot_addr_i[0] + NET boot_addr_i[0] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 106239 ) E ;
+ FIXED ( 0 106239 ) E ;
- hart_id_i[63] + NET hart_id_i[63] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 111076 ) E ;
+ FIXED ( 0 111076 ) E ;
- hart_id_i[62] + NET hart_id_i[62] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 106767 ) E ;
+ FIXED ( 0 106767 ) E ;
- hart_id_i[61] + NET hart_id_i[61] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 106852 ) E ;
+ FIXED ( 0 106852 ) E ;
- hart_id_i[60] + NET hart_id_i[60] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 106724 ) E ;
+ FIXED ( 0 106724 ) E ;
- hart_id_i[59] + NET hart_id_i[59] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 107300 ) E ;
+ FIXED ( 0 107300 ) E ;
- hart_id_i[58] + NET hart_id_i[58] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 107247 ) E ;
+ FIXED ( 0 107247 ) E ;
- hart_id_i[57] + NET hart_id_i[57] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 107439 ) E ;
+ FIXED ( 0 107439 ) E ;
- hart_id_i[56] + NET hart_id_i[56] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 107812 ) E ;
+ FIXED ( 0 107812 ) E ;
- hart_id_i[55] + NET hart_id_i[55] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 107428 ) E ;
+ FIXED ( 0 107428 ) E ;
- hart_id_i[54] + NET hart_id_i[54] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 107775 ) E ;
+ FIXED ( 0 107775 ) E ;
- hart_id_i[53] + NET hart_id_i[53] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 107940 ) E ;
+ FIXED ( 0 107940 ) E ;
- hart_id_i[52] + NET hart_id_i[52] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 107535 ) E ;
+ FIXED ( 0 107535 ) E ;
- hart_id_i[51] + NET hart_id_i[51] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 108447 ) E ;
+ FIXED ( 0 108447 ) E ;
- hart_id_i[50] + NET hart_id_i[50] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 109983 ) E ;
+ FIXED ( 0 109983 ) E ;
- hart_id_i[49] + NET hart_id_i[49] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 109988 ) E ;
+ FIXED ( 0 109988 ) E ;
- hart_id_i[48] + NET hart_id_i[48] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 112623 ) E ;
+ FIXED ( 0 112623 ) E ;
- hart_id_i[47] + NET hart_id_i[47] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 113295 ) E ;
+ FIXED ( 0 113295 ) E ;
- hart_id_i[46] + NET hart_id_i[46] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 115492 ) E ;
+ FIXED ( 0 115492 ) E ;
- hart_id_i[45] + NET hart_id_i[45] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 116079 ) E ;
+ FIXED ( 0 116079 ) E ;
- hart_id_i[44] + NET hart_id_i[44] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 125631 ) E ;
+ FIXED ( 0 125631 ) E ;
- hart_id_i[43] + NET hart_id_i[43] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 136420 ) E ;
+ FIXED ( 0 136420 ) E ;
- hart_id_i[42] + NET hart_id_i[42] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 136548 ) E ;
+ FIXED ( 0 136548 ) E ;
- hart_id_i[41] + NET hart_id_i[41] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 137535 ) E ;
+ FIXED ( 0 137535 ) E ;
- hart_id_i[40] + NET hart_id_i[40] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 134367 ) E ;
+ FIXED ( 0 134367 ) E ;
- hart_id_i[39] + NET hart_id_i[39] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 139215 ) E ;
+ FIXED ( 0 139215 ) E ;
- hart_id_i[38] + NET hart_id_i[38] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 139695 ) E ;
+ FIXED ( 0 139695 ) E ;
- hart_id_i[37] + NET hart_id_i[37] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 140751 ) E ;
+ FIXED ( 0 140751 ) E ;
- hart_id_i[36] + NET hart_id_i[36] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 140772 ) E ;
+ FIXED ( 0 140772 ) E ;
- hart_id_i[35] + NET hart_id_i[35] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 138063 ) E ;
+ FIXED ( 0 138063 ) E ;
- hart_id_i[34] + NET hart_id_i[34] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 139684 ) E ;
+ FIXED ( 0 139684 ) E ;
- hart_id_i[33] + NET hart_id_i[33] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 139172 ) E ;
+ FIXED ( 0 139172 ) E ;
- hart_id_i[32] + NET hart_id_i[32] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 138591 ) E ;
+ FIXED ( 0 138591 ) E ;
- hart_id_i[31] + NET hart_id_i[31] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 139311 ) E ;
+ FIXED ( 0 139311 ) E ;
- hart_id_i[30] + NET hart_id_i[30] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 140319 ) E ;
+ FIXED ( 0 140319 ) E ;
- hart_id_i[29] + NET hart_id_i[29] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 135471 ) E ;
+ FIXED ( 0 135471 ) E ;
- hart_id_i[28] + NET hart_id_i[28] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 135460 ) E ;
+ FIXED ( 0 135460 ) E ;
- hart_id_i[27] + NET hart_id_i[27] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 137508 ) E ;
+ FIXED ( 0 137508 ) E ;
- hart_id_i[26] + NET hart_id_i[26] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 135903 ) E ;
+ FIXED ( 0 135903 ) E ;
- hart_id_i[25] + NET hart_id_i[25] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 138084 ) E ;
+ FIXED ( 0 138084 ) E ;
- hart_id_i[24] + NET hart_id_i[24] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 135375 ) E ;
+ FIXED ( 0 135375 ) E ;
- hart_id_i[23] + NET hart_id_i[23] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 135999 ) E ;
+ FIXED ( 0 135999 ) E ;
- hart_id_i[22] + NET hart_id_i[22] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 131583 ) E ;
+ FIXED ( 0 131583 ) E ;
- hart_id_i[21] + NET hart_id_i[21] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 132207 ) E ;
+ FIXED ( 0 132207 ) E ;
- hart_id_i[20] + NET hart_id_i[20] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 132783 ) E ;
+ FIXED ( 0 132783 ) E ;
- hart_id_i[19] + NET hart_id_i[19] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 134895 ) E ;
+ FIXED ( 0 134895 ) E ;
- hart_id_i[18] + NET hart_id_i[18] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 134799 ) E ;
+ FIXED ( 0 134799 ) E ;
- hart_id_i[17] + NET hart_id_i[17] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 137439 ) E ;
+ FIXED ( 0 137439 ) E ;
- hart_id_i[16] + NET hart_id_i[16] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 131679 ) E ;
+ FIXED ( 0 131679 ) E ;
- hart_id_i[15] + NET hart_id_i[15] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 140324 ) E ;
+ FIXED ( 0 140324 ) E ;
- hart_id_i[14] + NET hart_id_i[14] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 135567 ) E ;
+ FIXED ( 0 135567 ) E ;
- hart_id_i[13] + NET hart_id_i[13] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 138159 ) E ;
+ FIXED ( 0 138159 ) E ;
- hart_id_i[12] + NET hart_id_i[12] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 138596 ) E ;
+ FIXED ( 0 138596 ) E ;
- hart_id_i[11] + NET hart_id_i[11] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 137631 ) E ;
+ FIXED ( 0 137631 ) E ;
- hart_id_i[10] + NET hart_id_i[10] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 135332 ) E ;
+ FIXED ( 0 135332 ) E ;
- hart_id_i[9] + NET hart_id_i[9] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 129519 ) E ;
+ FIXED ( 0 129519 ) E ;
- hart_id_i[8] + NET hart_id_i[8] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 137055 ) E ;
+ FIXED ( 0 137055 ) E ;
- hart_id_i[7] + NET hart_id_i[7] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 128895 ) E ;
+ FIXED ( 0 128895 ) E ;
- hart_id_i[6] + NET hart_id_i[6] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 138212 ) E ;
+ FIXED ( 0 138212 ) E ;
- hart_id_i[5] + NET hart_id_i[5] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 128991 ) E ;
+ FIXED ( 0 128991 ) E ;
- hart_id_i[4] + NET hart_id_i[4] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 136575 ) E ;
+ FIXED ( 0 136575 ) E ;
- hart_id_i[3] + NET hart_id_i[3] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 127359 ) E ;
+ FIXED ( 0 127359 ) E ;
- hart_id_i[2] + NET hart_id_i[2] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 120351 ) E ;
+ FIXED ( 0 120351 ) E ;
- hart_id_i[1] + NET hart_id_i[1] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 125199 ) E ;
+ FIXED ( 0 125199 ) E ;
- hart_id_i[0] + NET hart_id_i[0] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 118719 ) E ;
+ FIXED ( 0 118719 ) E ;
- irq_i[1] + NET irq_i[1] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 129327 ) E ;
+ FIXED ( 0 129327 ) E ;
- irq_i[0] + NET irq_i[0] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 124575 ) E ;
+ FIXED ( 0 124575 ) E ;
- ipi_i + NET ipi_i + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 118095 ) E ;
+ FIXED ( 0 118095 ) E ;
- time_irq_i + NET time_irq_i + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 124047 ) E ;
+ FIXED ( 0 124047 ) E ;
- debug_req_i + NET debug_req_i + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 115364 ) E ;
+ FIXED ( 0 115364 ) E ;
- axi_req_o[277] + NET axi_req_o[277] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 202335 ) E ;
+ FIXED ( 0 202335 ) E ;
- axi_req_o[276] + NET axi_req_o[276] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 191055 ) E ;
+ FIXED ( 0 191055 ) E ;
- axi_req_o[275] + NET axi_req_o[275] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 206367 ) E ;
+ FIXED ( 0 206367 ) E ;
- axi_req_o[274] + NET axi_req_o[274] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 205839 ) E ;
+ FIXED ( 0 205839 ) E ;
- axi_req_o[273] + NET axi_req_o[273] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 178671 ) E ;
+ FIXED ( 0 178671 ) E ;
- axi_req_o[272] + NET axi_req_o[272] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 177471 ) E ;
+ FIXED ( 0 177471 ) E ;
- axi_req_o[271] + NET axi_req_o[271] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 177567 ) E ;
+ FIXED ( 0 177567 ) E ;
- axi_req_o[270] + NET axi_req_o[270] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 177039 ) E ;
+ FIXED ( 0 177039 ) E ;
- axi_req_o[269] + NET axi_req_o[269] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 177508 ) E ;
+ FIXED ( 0 177508 ) E ;
- axi_req_o[268] + NET axi_req_o[268] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 177999 ) E ;
+ FIXED ( 0 177999 ) E ;
- axi_req_o[267] + NET axi_req_o[267] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 178020 ) E ;
+ FIXED ( 0 178020 ) E ;
- axi_req_o[266] + NET axi_req_o[266] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 178575 ) E ;
+ FIXED ( 0 178575 ) E ;
- axi_req_o[265] + NET axi_req_o[265] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 192687 ) E ;
+ FIXED ( 0 192687 ) E ;
- axi_req_o[264] + NET axi_req_o[264] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 205023 ) E ;
+ FIXED ( 0 205023 ) E ;
- axi_req_o[263] + NET axi_req_o[263] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 197487 ) E ;
+ FIXED ( 0 197487 ) E ;
- axi_req_o[262] + NET axi_req_o[262] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 204591 ) E ;
+ FIXED ( 0 204591 ) E ;
- axi_req_o[261] + NET axi_req_o[261] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 194223 ) E ;
+ FIXED ( 0 194223 ) E ;
- axi_req_o[260] + NET axi_req_o[260] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 204495 ) E ;
+ FIXED ( 0 204495 ) E ;
- axi_req_o[259] + NET axi_req_o[259] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 204580 ) E ;
+ FIXED ( 0 204580 ) E ;
- axi_req_o[258] + NET axi_req_o[258] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 204687 ) E ;
+ FIXED ( 0 204687 ) E ;
- axi_req_o[257] + NET axi_req_o[257] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 205551 ) E ;
+ FIXED ( 0 205551 ) E ;
- axi_req_o[256] + NET axi_req_o[256] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 195951 ) E ;
+ FIXED ( 0 195951 ) E ;
- axi_req_o[255] + NET axi_req_o[255] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 205119 ) E ;
+ FIXED ( 0 205119 ) E ;
- axi_req_o[254] + NET axi_req_o[254] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 196911 ) E ;
+ FIXED ( 0 196911 ) E ;
- axi_req_o[253] + NET axi_req_o[253] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 195940 ) E ;
+ FIXED ( 0 195940 ) E ;
- axi_req_o[252] + NET axi_req_o[252] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 195375 ) E ;
+ FIXED ( 0 195375 ) E ;
- axi_req_o[251] + NET axi_req_o[251] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 195855 ) E ;
+ FIXED ( 0 195855 ) E ;
- axi_req_o[250] + NET axi_req_o[250] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 195428 ) E ;
+ FIXED ( 0 195428 ) E ;
- axi_req_o[249] + NET axi_req_o[249] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 194751 ) E ;
+ FIXED ( 0 194751 ) E ;
- axi_req_o[248] + NET axi_req_o[248] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 193695 ) E ;
+ FIXED ( 0 193695 ) E ;
- axi_req_o[247] + NET axi_req_o[247] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 194788 ) E ;
+ FIXED ( 0 194788 ) E ;
- axi_req_o[246] + NET axi_req_o[246] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 193263 ) E ;
+ FIXED ( 0 193263 ) E ;
- axi_req_o[245] + NET axi_req_o[245] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 193791 ) E ;
+ FIXED ( 0 193791 ) E ;
- axi_req_o[244] + NET axi_req_o[244] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 194847 ) E ;
+ FIXED ( 0 194847 ) E ;
- axi_req_o[243] + NET axi_req_o[243] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 194916 ) E ;
+ FIXED ( 0 194916 ) E ;
- axi_req_o[242] + NET axi_req_o[242] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 195279 ) E ;
+ FIXED ( 0 195279 ) E ;
- axi_req_o[241] + NET axi_req_o[241] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 195300 ) E ;
+ FIXED ( 0 195300 ) E ;
- axi_req_o[240] + NET axi_req_o[240] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 192591 ) E ;
+ FIXED ( 0 192591 ) E ;
- axi_req_o[239] + NET axi_req_o[239] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 191076 ) E ;
+ FIXED ( 0 191076 ) E ;
- axi_req_o[238] + NET axi_req_o[238] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 190431 ) E ;
+ FIXED ( 0 190431 ) E ;
- axi_req_o[237] + NET axi_req_o[237] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 189471 ) E ;
+ FIXED ( 0 189471 ) E ;
- axi_req_o[236] + NET axi_req_o[236] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 183519 ) E ;
+ FIXED ( 0 183519 ) E ;
- axi_req_o[235] + NET axi_req_o[235] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 184623 ) E ;
+ FIXED ( 0 184623 ) E ;
- axi_req_o[234] + NET axi_req_o[234] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 184527 ) E ;
+ FIXED ( 0 184527 ) E ;
- axi_req_o[233] + NET axi_req_o[233] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 181359 ) E ;
+ FIXED ( 0 181359 ) E ;
- axi_req_o[232] + NET axi_req_o[232] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 181791 ) E ;
+ FIXED ( 0 181791 ) E ;
- axi_req_o[231] + NET axi_req_o[231] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 182991 ) E ;
+ FIXED ( 0 182991 ) E ;
- axi_req_o[230] + NET axi_req_o[230] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 181887 ) E ;
+ FIXED ( 0 181887 ) E ;
- axi_req_o[229] + NET axi_req_o[229] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 183423 ) E ;
+ FIXED ( 0 183423 ) E ;
- axi_req_o[228] + NET axi_req_o[228] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 181796 ) E ;
+ FIXED ( 0 181796 ) E ;
- axi_req_o[227] + NET axi_req_o[227] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 182415 ) E ;
+ FIXED ( 0 182415 ) E ;
- axi_req_o[226] + NET axi_req_o[226] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 181348 ) E ;
+ FIXED ( 0 181348 ) E ;
- axi_req_o[225] + NET axi_req_o[225] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 181263 ) E ;
+ FIXED ( 0 181263 ) E ;
- axi_req_o[224] + NET axi_req_o[224] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 181455 ) E ;
+ FIXED ( 0 181455 ) E ;
- axi_req_o[223] + NET axi_req_o[223] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 181220 ) E ;
+ FIXED ( 0 181220 ) E ;
- axi_req_o[222] + NET axi_req_o[222] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 181167 ) E ;
+ FIXED ( 0 181167 ) E ;
- axi_req_o[221] + NET axi_req_o[221] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 182372 ) E ;
+ FIXED ( 0 182372 ) E ;
- axi_req_o[220] + NET axi_req_o[220] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 182511 ) E ;
+ FIXED ( 0 182511 ) E ;
- axi_req_o[219] + NET axi_req_o[219] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 181924 ) E ;
+ FIXED ( 0 181924 ) E ;
- axi_req_o[218] + NET axi_req_o[218] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 182319 ) E ;
+ FIXED ( 0 182319 ) E ;
- axi_req_o[217] + NET axi_req_o[217] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 181983 ) E ;
+ FIXED ( 0 181983 ) E ;
- axi_req_o[216] + NET axi_req_o[216] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 182052 ) E ;
+ FIXED ( 0 182052 ) E ;
- axi_req_o[215] + NET axi_req_o[215] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 182500 ) E ;
+ FIXED ( 0 182500 ) E ;
- axi_req_o[214] + NET axi_req_o[214] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 182607 ) E ;
+ FIXED ( 0 182607 ) E ;
- axi_req_o[213] + NET axi_req_o[213] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 199167 ) E ;
+ FIXED ( 0 199167 ) E ;
- axi_req_o[212] + NET axi_req_o[212] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 205028 ) E ;
+ FIXED ( 0 205028 ) E ;
- axi_req_o[211] + NET axi_req_o[211] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 204015 ) E ;
+ FIXED ( 0 204015 ) E ;
- axi_req_o[210] + NET axi_req_o[210] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 203487 ) E ;
+ FIXED ( 0 203487 ) E ;
- axi_req_o[209] + NET axi_req_o[209] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 159999 ) E ;
+ FIXED ( 0 159999 ) E ;
- axi_req_o[208] + NET axi_req_o[208] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 159972 ) E ;
+ FIXED ( 0 159972 ) E ;
- axi_req_o[207] + NET axi_req_o[207] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 160095 ) E ;
+ FIXED ( 0 160095 ) E ;
- axi_req_o[206] + NET axi_req_o[206] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 160100 ) E ;
+ FIXED ( 0 160100 ) E ;
- axi_req_o[205] + NET axi_req_o[205] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 159903 ) E ;
+ FIXED ( 0 159903 ) E ;
- axi_req_o[204] + NET axi_req_o[204] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 159844 ) E ;
+ FIXED ( 0 159844 ) E ;
- axi_req_o[203] + NET axi_req_o[203] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 160191 ) E ;
+ FIXED ( 0 160191 ) E ;
- axi_req_o[202] + NET axi_req_o[202] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 202959 ) E ;
+ FIXED ( 0 202959 ) E ;
- axi_req_o[201] + NET axi_req_o[201] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 159807 ) E ;
+ FIXED ( 0 159807 ) E ;
- axi_req_o[200] + NET axi_req_o[200] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 206175 ) E ;
+ FIXED ( 0 206175 ) E ;
- axi_req_o[199] + NET axi_req_o[199] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 206180 ) E ;
+ FIXED ( 0 206180 ) E ;
- axi_req_o[198] + NET axi_req_o[198] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 160228 ) E ;
+ FIXED ( 0 160228 ) E ;
- axi_req_o[197] + NET axi_req_o[197] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 206751 ) E ;
+ FIXED ( 0 206751 ) E ;
- axi_req_o[196] + NET axi_req_o[196] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 160287 ) E ;
+ FIXED ( 0 160287 ) E ;
- axi_req_o[195] + NET axi_req_o[195] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 159711 ) E ;
+ FIXED ( 0 159711 ) E ;
- axi_req_o[194] + NET axi_req_o[194] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 159716 ) E ;
+ FIXED ( 0 159716 ) E ;
- axi_req_o[193] + NET axi_req_o[193] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 160356 ) E ;
+ FIXED ( 0 160356 ) E ;
- axi_req_o[192] + NET axi_req_o[192] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 160383 ) E ;
+ FIXED ( 0 160383 ) E ;
- axi_req_o[191] + NET axi_req_o[191] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 159615 ) E ;
+ FIXED ( 0 159615 ) E ;
- axi_req_o[190] + NET axi_req_o[190] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 159588 ) E ;
+ FIXED ( 0 159588 ) E ;
- axi_req_o[189] + NET axi_req_o[189] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 160479 ) E ;
+ FIXED ( 0 160479 ) E ;
- axi_req_o[188] + NET axi_req_o[188] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 160484 ) E ;
+ FIXED ( 0 160484 ) E ;
- axi_req_o[187] + NET axi_req_o[187] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 159519 ) E ;
+ FIXED ( 0 159519 ) E ;
- axi_req_o[186] + NET axi_req_o[186] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 159460 ) E ;
+ FIXED ( 0 159460 ) E ;
- axi_req_o[185] + NET axi_req_o[185] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 160575 ) E ;
+ FIXED ( 0 160575 ) E ;
- axi_req_o[184] + NET axi_req_o[184] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 159423 ) E ;
+ FIXED ( 0 159423 ) E ;
- axi_req_o[183] + NET axi_req_o[183] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 160612 ) E ;
+ FIXED ( 0 160612 ) E ;
- axi_req_o[182] + NET axi_req_o[182] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 160671 ) E ;
+ FIXED ( 0 160671 ) E ;
- axi_req_o[181] + NET axi_req_o[181] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 159327 ) E ;
+ FIXED ( 0 159327 ) E ;
- axi_req_o[180] + NET axi_req_o[180] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 159332 ) E ;
+ FIXED ( 0 159332 ) E ;
- axi_req_o[179] + NET axi_req_o[179] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 160740 ) E ;
+ FIXED ( 0 160740 ) E ;
- axi_req_o[178] + NET axi_req_o[178] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 160767 ) E ;
+ FIXED ( 0 160767 ) E ;
- axi_req_o[177] + NET axi_req_o[177] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 159231 ) E ;
+ FIXED ( 0 159231 ) E ;
- axi_req_o[176] + NET axi_req_o[176] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 159204 ) E ;
+ FIXED ( 0 159204 ) E ;
- axi_req_o[175] + NET axi_req_o[175] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 160863 ) E ;
+ FIXED ( 0 160863 ) E ;
- axi_req_o[174] + NET axi_req_o[174] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 200463 ) E ;
+ FIXED ( 0 200463 ) E ;
- axi_req_o[173] + NET axi_req_o[173] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 218079 ) E ;
+ FIXED ( 0 218079 ) E ;
- axi_req_o[172] + NET axi_req_o[172] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 221775 ) E ;
+ FIXED ( 0 221775 ) E ;
- axi_req_o[171] + NET axi_req_o[171] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 219615 ) E ;
+ FIXED ( 0 219615 ) E ;
- axi_req_o[170] + NET axi_req_o[170] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 221796 ) E ;
+ FIXED ( 0 221796 ) E ;
- axi_req_o[169] + NET axi_req_o[169] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 218607 ) E ;
+ FIXED ( 0 218607 ) E ;
- axi_req_o[168] + NET axi_req_o[168] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 217983 ) E ;
+ FIXED ( 0 217983 ) E ;
- axi_req_o[167] + NET axi_req_o[167] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 221199 ) E ;
+ FIXED ( 0 221199 ) E ;
- axi_req_o[166] + NET axi_req_o[166] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 220767 ) E ;
+ FIXED ( 0 220767 ) E ;
- axi_req_o[165] + NET axi_req_o[165] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 222927 ) E ;
+ FIXED ( 0 222927 ) E ;
- axi_req_o[164] + NET axi_req_o[164] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 222303 ) E ;
+ FIXED ( 0 222303 ) E ;
- axi_req_o[163] + NET axi_req_o[163] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 222831 ) E ;
+ FIXED ( 0 222831 ) E ;
- axi_req_o[162] + NET axi_req_o[162] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 222399 ) E ;
+ FIXED ( 0 222399 ) E ;
- axi_req_o[161] + NET axi_req_o[161] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 222308 ) E ;
+ FIXED ( 0 222308 ) E ;
- axi_req_o[160] + NET axi_req_o[160] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 222948 ) E ;
+ FIXED ( 0 222948 ) E ;
- axi_req_o[159] + NET axi_req_o[159] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 221679 ) E ;
+ FIXED ( 0 221679 ) E ;
- axi_req_o[158] + NET axi_req_o[158] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 218596 ) E ;
+ FIXED ( 0 218596 ) E ;
- axi_req_o[157] + NET axi_req_o[157] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 214287 ) E ;
+ FIXED ( 0 214287 ) E ;
- axi_req_o[156] + NET axi_req_o[156] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 212655 ) E ;
+ FIXED ( 0 212655 ) E ;
- axi_req_o[155] + NET axi_req_o[155] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 213663 ) E ;
+ FIXED ( 0 213663 ) E ;
- axi_req_o[154] + NET axi_req_o[154] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 212559 ) E ;
+ FIXED ( 0 212559 ) E ;
- axi_req_o[153] + NET axi_req_o[153] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 214308 ) E ;
+ FIXED ( 0 214308 ) E ;
- axi_req_o[152] + NET axi_req_o[152] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 211599 ) E ;
+ FIXED ( 0 211599 ) E ;
- axi_req_o[151] + NET axi_req_o[151] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 212708 ) E ;
+ FIXED ( 0 212708 ) E ;
- axi_req_o[150] + NET axi_req_o[150] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 214191 ) E ;
+ FIXED ( 0 214191 ) E ;
- axi_req_o[149] + NET axi_req_o[149] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 211503 ) E ;
+ FIXED ( 0 211503 ) E ;
- axi_req_o[148] + NET axi_req_o[148] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 214180 ) E ;
+ FIXED ( 0 214180 ) E ;
- axi_req_o[147] + NET axi_req_o[147] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 212031 ) E ;
+ FIXED ( 0 212031 ) E ;
- axi_req_o[146] + NET axi_req_o[146] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 210447 ) E ;
+ FIXED ( 0 210447 ) E ;
- axi_req_o[145] + NET axi_req_o[145] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 212068 ) E ;
+ FIXED ( 0 212068 ) E ;
- axi_req_o[144] + NET axi_req_o[144] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 209967 ) E ;
+ FIXED ( 0 209967 ) E ;
- axi_req_o[143] + NET axi_req_o[143] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 210975 ) E ;
+ FIXED ( 0 210975 ) E ;
- axi_req_o[142] + NET axi_req_o[142] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 209871 ) E ;
+ FIXED ( 0 209871 ) E ;
- axi_req_o[141] + NET axi_req_o[141] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 218084 ) E ;
+ FIXED ( 0 218084 ) E ;
- axi_req_o[140] + NET axi_req_o[140] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 218175 ) E ;
+ FIXED ( 0 218175 ) E ;
- axi_req_o[139] + NET axi_req_o[139] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 217956 ) E ;
+ FIXED ( 0 217956 ) E ;
- axi_req_o[138] + NET axi_req_o[138] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 219183 ) E ;
+ FIXED ( 0 219183 ) E ;
- axi_req_o[137] + NET axi_req_o[137] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 217887 ) E ;
+ FIXED ( 0 217887 ) E ;
- axi_req_o[136] + NET axi_req_o[136] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 218703 ) E ;
+ FIXED ( 0 218703 ) E ;
- axi_req_o[135] + NET axi_req_o[135] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 217551 ) E ;
+ FIXED ( 0 217551 ) E ;
- axi_req_o[134] + NET axi_req_o[134] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 217508 ) E ;
+ FIXED ( 0 217508 ) E ;
- axi_req_o[133] + NET axi_req_o[133] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 220143 ) E ;
+ FIXED ( 0 220143 ) E ;
- axi_req_o[132] + NET axi_req_o[132] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 214815 ) E ;
+ FIXED ( 0 214815 ) E ;
- axi_req_o[131] + NET axi_req_o[131] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 220671 ) E ;
+ FIXED ( 0 220671 ) E ;
- axi_req_o[130] + NET axi_req_o[130] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 219620 ) E ;
+ FIXED ( 0 219620 ) E ;
- axi_req_o[129] + NET axi_req_o[129] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 220708 ) E ;
+ FIXED ( 0 220708 ) E ;
- axi_req_o[128] + NET axi_req_o[128] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 216927 ) E ;
+ FIXED ( 0 216927 ) E ;
- axi_req_o[127] + NET axi_req_o[127] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 219711 ) E ;
+ FIXED ( 0 219711 ) E ;
- axi_req_o[126] + NET axi_req_o[126] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 220575 ) E ;
+ FIXED ( 0 220575 ) E ;
- axi_req_o[125] + NET axi_req_o[125] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 210404 ) E ;
+ FIXED ( 0 210404 ) E ;
- axi_req_o[124] + NET axi_req_o[124] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 209956 ) E ;
+ FIXED ( 0 209956 ) E ;
- axi_req_o[123] + NET axi_req_o[123] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 211492 ) E ;
+ FIXED ( 0 211492 ) E ;
- axi_req_o[122] + NET axi_req_o[122] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 210543 ) E ;
+ FIXED ( 0 210543 ) E ;
- axi_req_o[121] + NET axi_req_o[121] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 209343 ) E ;
+ FIXED ( 0 209343 ) E ;
- axi_req_o[120] + NET axi_req_o[120] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 208335 ) E ;
+ FIXED ( 0 208335 ) E ;
- axi_req_o[119] + NET axi_req_o[119] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 206655 ) E ;
+ FIXED ( 0 206655 ) E ;
- axi_req_o[118] + NET axi_req_o[118] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 207183 ) E ;
+ FIXED ( 0 207183 ) E ;
- axi_req_o[117] + NET axi_req_o[117] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 211071 ) E ;
+ FIXED ( 0 211071 ) E ;
- axi_req_o[116] + NET axi_req_o[116] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 209439 ) E ;
+ FIXED ( 0 209439 ) E ;
- axi_req_o[115] + NET axi_req_o[115] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 207279 ) E ;
+ FIXED ( 0 207279 ) E ;
- axi_req_o[114] + NET axi_req_o[114] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 208815 ) E ;
+ FIXED ( 0 208815 ) E ;
- axi_req_o[113] + NET axi_req_o[113] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 207807 ) E ;
+ FIXED ( 0 207807 ) E ;
- axi_req_o[112] + NET axi_req_o[112] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 207711 ) E ;
+ FIXED ( 0 207711 ) E ;
- axi_req_o[111] + NET axi_req_o[111] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 206756 ) E ;
+ FIXED ( 0 206756 ) E ;
- axi_req_o[110] + NET axi_req_o[110] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 209380 ) E ;
+ FIXED ( 0 209380 ) E ;
- axi_req_o[109] + NET axi_req_o[109] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 210351 ) E ;
+ FIXED ( 0 210351 ) E ;
- axi_req_o[108] + NET axi_req_o[108] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 210980 ) E ;
+ FIXED ( 0 210980 ) E ;
- axi_req_o[107] + NET axi_req_o[107] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 207844 ) E ;
+ FIXED ( 0 207844 ) E ;
- axi_req_o[106] + NET axi_req_o[106] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 209247 ) E ;
+ FIXED ( 0 209247 ) E ;
- axi_req_o[105] + NET axi_req_o[105] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 210532 ) E ;
+ FIXED ( 0 210532 ) E ;
- axi_req_o[104] + NET axi_req_o[104] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 210639 ) E ;
+ FIXED ( 0 210639 ) E ;
- axi_req_o[103] + NET axi_req_o[103] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 207716 ) E ;
+ FIXED ( 0 207716 ) E ;
- axi_req_o[102] + NET axi_req_o[102] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 207615 ) E ;
+ FIXED ( 0 207615 ) E ;
- axi_req_o[101] + NET axi_req_o[101] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 201855 ) E ;
+ FIXED ( 0 201855 ) E ;
- axi_req_o[100] + NET axi_req_o[100] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 201615 ) E ;
+ FIXED ( 0 201615 ) E ;
- axi_req_o[99] + NET axi_req_o[99] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 208431 ) E ;
+ FIXED ( 0 208431 ) E ;
- axi_req_o[98] + NET axi_req_o[98] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 196719 ) E ;
+ FIXED ( 0 196719 ) E ;
- axi_req_o[97] + NET axi_req_o[97] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 189087 ) E ;
+ FIXED ( 0 189087 ) E ;
- axi_req_o[96] + NET axi_req_o[96] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 206847 ) E ;
+ FIXED ( 0 206847 ) E ;
- axi_req_o[95] + NET axi_req_o[95] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 206079 ) E ;
+ FIXED ( 0 206079 ) E ;
- axi_req_o[94] + NET axi_req_o[94] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 179103 ) E ;
+ FIXED ( 0 179103 ) E ;
- axi_req_o[93] + NET axi_req_o[93] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 177636 ) E ;
+ FIXED ( 0 177636 ) E ;
- axi_req_o[92] + NET axi_req_o[92] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 177375 ) E ;
+ FIXED ( 0 177375 ) E ;
- axi_req_o[91] + NET axi_req_o[91] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 177060 ) E ;
+ FIXED ( 0 177060 ) E ;
- axi_req_o[90] + NET axi_req_o[90] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 177380 ) E ;
+ FIXED ( 0 177380 ) E ;
- axi_req_o[89] + NET axi_req_o[89] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 178095 ) E ;
+ FIXED ( 0 178095 ) E ;
- axi_req_o[88] + NET axi_req_o[88] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 178148 ) E ;
+ FIXED ( 0 178148 ) E ;
- axi_req_o[87] + NET axi_req_o[87] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 178191 ) E ;
+ FIXED ( 0 178191 ) E ;
- axi_req_o[86] + NET axi_req_o[86] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 191631 ) E ;
+ FIXED ( 0 191631 ) E ;
- axi_req_o[85] + NET axi_req_o[85] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 203919 ) E ;
+ FIXED ( 0 203919 ) E ;
- axi_req_o[84] + NET axi_req_o[84] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 196479 ) E ;
+ FIXED ( 0 196479 ) E ;
- axi_req_o[83] + NET axi_req_o[83] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 203940 ) E ;
+ FIXED ( 0 203940 ) E ;
- axi_req_o[82] + NET axi_req_o[82] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 193764 ) E ;
+ FIXED ( 0 193764 ) E ;
- axi_req_o[81] + NET axi_req_o[81] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 204068 ) E ;
+ FIXED ( 0 204068 ) E ;
- axi_req_o[80] + NET axi_req_o[80] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 204111 ) E ;
+ FIXED ( 0 204111 ) E ;
- axi_req_o[79] + NET axi_req_o[79] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 204207 ) E ;
+ FIXED ( 0 204207 ) E ;
- axi_req_o[78] + NET axi_req_o[78] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 203492 ) E ;
+ FIXED ( 0 203492 ) E ;
- axi_req_o[77] + NET axi_req_o[77] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 195183 ) E ;
+ FIXED ( 0 195183 ) E ;
- axi_req_o[76] + NET axi_req_o[76] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 203583 ) E ;
+ FIXED ( 0 203583 ) E ;
- axi_req_o[75] + NET axi_req_o[75] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 196383 ) E ;
+ FIXED ( 0 196383 ) E ;
- axi_req_o[74] + NET axi_req_o[74] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 195812 ) E ;
+ FIXED ( 0 195812 ) E ;
- axi_req_o[73] + NET axi_req_o[73] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 194943 ) E ;
+ FIXED ( 0 194943 ) E ;
- axi_req_o[72] + NET axi_req_o[72] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 195172 ) E ;
+ FIXED ( 0 195172 ) E ;
- axi_req_o[71] + NET axi_req_o[71] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 195039 ) E ;
+ FIXED ( 0 195039 ) E ;
- axi_req_o[70] + NET axi_req_o[70] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 194319 ) E ;
+ FIXED ( 0 194319 ) E ;
- axi_req_o[69] + NET axi_req_o[69] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 193252 ) E ;
+ FIXED ( 0 193252 ) E ;
- axi_req_o[68] + NET axi_req_o[68] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 194340 ) E ;
+ FIXED ( 0 194340 ) E ;
- axi_req_o[67] + NET axi_req_o[67] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 193167 ) E ;
+ FIXED ( 0 193167 ) E ;
- axi_req_o[66] + NET axi_req_o[66] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 193124 ) E ;
+ FIXED ( 0 193124 ) E ;
- axi_req_o[65] + NET axi_req_o[65] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 193887 ) E ;
+ FIXED ( 0 193887 ) E ;
- axi_req_o[64] + NET axi_req_o[64] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 194212 ) E ;
+ FIXED ( 0 194212 ) E ;
- axi_req_o[63] + NET axi_req_o[63] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 194415 ) E ;
+ FIXED ( 0 194415 ) E ;
- axi_req_o[62] + NET axi_req_o[62] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 194655 ) E ;
+ FIXED ( 0 194655 ) E ;
- axi_req_o[61] + NET axi_req_o[61] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 192063 ) E ;
+ FIXED ( 0 192063 ) E ;
- axi_req_o[60] + NET axi_req_o[60] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 190527 ) E ;
+ FIXED ( 0 190527 ) E ;
- axi_req_o[59] + NET axi_req_o[59] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 189903 ) E ;
+ FIXED ( 0 189903 ) E ;
- axi_req_o[58] + NET axi_req_o[58] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 189375 ) E ;
+ FIXED ( 0 189375 ) E ;
- axi_req_o[57] + NET axi_req_o[57] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 182948 ) E ;
+ FIXED ( 0 182948 ) E ;
- axi_req_o[56] + NET axi_req_o[56] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 184047 ) E ;
+ FIXED ( 0 184047 ) E ;
- axi_req_o[55] + NET axi_req_o[55] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 183951 ) E ;
+ FIXED ( 0 183951 ) E ;
- axi_req_o[54] + NET axi_req_o[54] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 180255 ) E ;
+ FIXED ( 0 180255 ) E ;
- axi_req_o[53] + NET axi_req_o[53] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 181476 ) E ;
+ FIXED ( 0 181476 ) E ;
- axi_req_o[52] + NET axi_req_o[52] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 182223 ) E ;
+ FIXED ( 0 182223 ) E ;
- axi_req_o[51] + NET axi_req_o[51] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 181551 ) E ;
+ FIXED ( 0 181551 ) E ;
- axi_req_o[50] + NET axi_req_o[50] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 182895 ) E ;
+ FIXED ( 0 182895 ) E ;
- axi_req_o[49] + NET axi_req_o[49] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 181071 ) E ;
+ FIXED ( 0 181071 ) E ;
- axi_req_o[48] + NET axi_req_o[48] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 181695 ) E ;
+ FIXED ( 0 181695 ) E ;
- axi_req_o[47] + NET axi_req_o[47] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 181092 ) E ;
+ FIXED ( 0 181092 ) E ;
- axi_req_o[46] + NET axi_req_o[46] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 180831 ) E ;
+ FIXED ( 0 180831 ) E ;
- axi_req_o[45] + NET axi_req_o[45] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 180735 ) E ;
+ FIXED ( 0 180735 ) E ;
- axi_req_o[44] + NET axi_req_o[44] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 180708 ) E ;
+ FIXED ( 0 180708 ) E ;
- axi_req_o[43] + NET axi_req_o[43] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 180836 ) E ;
+ FIXED ( 0 180836 ) E ;
- axi_req_o[42] + NET axi_req_o[42] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 181668 ) E ;
+ FIXED ( 0 181668 ) E ;
- axi_req_o[41] + NET axi_req_o[41] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 182244 ) E ;
+ FIXED ( 0 182244 ) E ;
- axi_req_o[40] + NET axi_req_o[40] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 180975 ) E ;
+ FIXED ( 0 180975 ) E ;
- axi_req_o[39] + NET axi_req_o[39] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 180964 ) E ;
+ FIXED ( 0 180964 ) E ;
- axi_req_o[38] + NET axi_req_o[38] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 180639 ) E ;
+ FIXED ( 0 180639 ) E ;
- axi_req_o[37] + NET axi_req_o[37] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 180580 ) E ;
+ FIXED ( 0 180580 ) E ;
- axi_req_o[36] + NET axi_req_o[36] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 182079 ) E ;
+ FIXED ( 0 182079 ) E ;
- axi_req_o[35] + NET axi_req_o[35] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 180543 ) E ;
+ FIXED ( 0 180543 ) E ;
- axi_req_o[34] + NET axi_req_o[34] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 198639 ) E ;
+ FIXED ( 0 198639 ) E ;
- axi_req_o[33] + NET axi_req_o[33] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 204452 ) E ;
+ FIXED ( 0 204452 ) E ;
- axi_req_o[32] + NET axi_req_o[32] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 203823 ) E ;
+ FIXED ( 0 203823 ) E ;
- axi_req_o[31] + NET axi_req_o[31] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 203391 ) E ;
+ FIXED ( 0 203391 ) E ;
- axi_req_o[30] + NET axi_req_o[30] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 160868 ) E ;
+ FIXED ( 0 160868 ) E ;
- axi_req_o[29] + NET axi_req_o[29] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 159135 ) E ;
+ FIXED ( 0 159135 ) E ;
- axi_req_o[28] + NET axi_req_o[28] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 159076 ) E ;
+ FIXED ( 0 159076 ) E ;
- axi_req_o[27] + NET axi_req_o[27] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 160959 ) E ;
+ FIXED ( 0 160959 ) E ;
- axi_req_o[26] + NET axi_req_o[26] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 159039 ) E ;
+ FIXED ( 0 159039 ) E ;
- axi_req_o[25] + NET axi_req_o[25] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 160996 ) E ;
+ FIXED ( 0 160996 ) E ;
- axi_req_o[24] + NET axi_req_o[24] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 161055 ) E ;
+ FIXED ( 0 161055 ) E ;
- axi_req_o[23] + NET axi_req_o[23] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 203364 ) E ;
+ FIXED ( 0 203364 ) E ;
- axi_req_o[22] + NET axi_req_o[22] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 158943 ) E ;
+ FIXED ( 0 158943 ) E ;
- axi_req_o[21] + NET axi_req_o[21] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 206628 ) E ;
+ FIXED ( 0 206628 ) E ;
- axi_req_o[20] + NET axi_req_o[20] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 206052 ) E ;
+ FIXED ( 0 206052 ) E ;
- axi_req_o[19] + NET axi_req_o[19] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 158948 ) E ;
+ FIXED ( 0 158948 ) E ;
- axi_req_o[18] + NET axi_req_o[18] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 206884 ) E ;
+ FIXED ( 0 206884 ) E ;
- axi_req_o[17] + NET axi_req_o[17] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 161124 ) E ;
+ FIXED ( 0 161124 ) E ;
- axi_req_o[16] + NET axi_req_o[16] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 161151 ) E ;
+ FIXED ( 0 161151 ) E ;
- axi_req_o[15] + NET axi_req_o[15] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 158847 ) E ;
+ FIXED ( 0 158847 ) E ;
- axi_req_o[14] + NET axi_req_o[14] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 158820 ) E ;
+ FIXED ( 0 158820 ) E ;
- axi_req_o[13] + NET axi_req_o[13] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 161247 ) E ;
+ FIXED ( 0 161247 ) E ;
- axi_req_o[12] + NET axi_req_o[12] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 190959 ) E ;
+ FIXED ( 0 190959 ) E ;
- axi_req_o[11] + NET axi_req_o[11] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 161252 ) E ;
+ FIXED ( 0 161252 ) E ;
- axi_req_o[10] + NET axi_req_o[10] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 173727 ) E ;
+ FIXED ( 0 173727 ) E ;
- axi_req_o[9] + NET axi_req_o[9] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 158751 ) E ;
+ FIXED ( 0 158751 ) E ;
- axi_req_o[8] + NET axi_req_o[8] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 158692 ) E ;
+ FIXED ( 0 158692 ) E ;
- axi_req_o[7] + NET axi_req_o[7] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 161343 ) E ;
+ FIXED ( 0 161343 ) E ;
- axi_req_o[6] + NET axi_req_o[6] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 158655 ) E ;
+ FIXED ( 0 158655 ) E ;
- axi_req_o[5] + NET axi_req_o[5] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 161380 ) E ;
+ FIXED ( 0 161380 ) E ;
- axi_req_o[4] + NET axi_req_o[4] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 161439 ) E ;
+ FIXED ( 0 161439 ) E ;
- axi_req_o[3] + NET axi_req_o[3] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 158559 ) E ;
+ FIXED ( 0 158559 ) E ;
- axi_req_o[2] + NET axi_req_o[2] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 158564 ) E ;
+ FIXED ( 0 158564 ) E ;
- axi_req_o[1] + NET axi_req_o[1] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 196047 ) E ;
+ FIXED ( 0 196047 ) E ;
- axi_req_o[0] + NET axi_req_o[0] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 200799 ) E ;
+ FIXED ( 0 200799 ) E ;
- axi_resp_i[81] + NET axi_resp_i[81] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 200868 ) E ;
+ FIXED ( 0 200868 ) E ;
- axi_resp_i[80] + NET axi_resp_i[80] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 196452 ) E ;
+ FIXED ( 0 196452 ) E ;
- axi_resp_i[79] + NET axi_resp_i[79] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 201183 ) E ;
+ FIXED ( 0 201183 ) E ;
- axi_resp_i[78] + NET axi_resp_i[78] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 212127 ) E ;
+ FIXED ( 0 212127 ) E ;
- axi_resp_i[77] + NET axi_resp_i[77] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 225903 ) E ;
+ FIXED ( 0 225903 ) E ;
- axi_resp_i[76] + NET axi_resp_i[76] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 225663 ) E ;
+ FIXED ( 0 225663 ) E ;
- axi_resp_i[75] + NET axi_resp_i[75] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 226767 ) E ;
+ FIXED ( 0 226767 ) E ;
- axi_resp_i[74] + NET axi_resp_i[74] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 226724 ) E ;
+ FIXED ( 0 226724 ) E ;
- axi_resp_i[73] + NET axi_resp_i[73] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 161508 ) E ;
+ FIXED ( 0 161508 ) E ;
- axi_resp_i[72] + NET axi_resp_i[72] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 161535 ) E ;
+ FIXED ( 0 161535 ) E ;
- axi_resp_i[71] + NET axi_resp_i[71] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 200484 ) E ;
+ FIXED ( 0 200484 ) E ;
- axi_resp_i[70] + NET axi_resp_i[70] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 224223 ) E ;
+ FIXED ( 0 224223 ) E ;
- axi_resp_i[69] + NET axi_resp_i[69] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 223887 ) E ;
+ FIXED ( 0 223887 ) E ;
- axi_resp_i[68] + NET axi_resp_i[68] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 225519 ) E ;
+ FIXED ( 0 225519 ) E ;
- axi_resp_i[67] + NET axi_resp_i[67] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 225508 ) E ;
+ FIXED ( 0 225508 ) E ;
- axi_resp_i[66] + NET axi_resp_i[66] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 178596 ) E ;
+ FIXED ( 0 178596 ) E ;
- axi_resp_i[65] + NET axi_resp_i[65] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 175647 ) E ;
+ FIXED ( 0 175647 ) E ;
- axi_resp_i[64] + NET axi_resp_i[64] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 176847 ) E ;
+ FIXED ( 0 176847 ) E ;
- axi_resp_i[63] + NET axi_resp_i[63] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 176559 ) E ;
+ FIXED ( 0 176559 ) E ;
- axi_resp_i[62] + NET axi_resp_i[62] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 179295 ) E ;
+ FIXED ( 0 179295 ) E ;
- axi_resp_i[61] + NET axi_resp_i[61] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 178479 ) E ;
+ FIXED ( 0 178479 ) E ;
- axi_resp_i[60] + NET axi_resp_i[60] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 177903 ) E ;
+ FIXED ( 0 177903 ) E ;
- axi_resp_i[59] + NET axi_resp_i[59] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 178468 ) E ;
+ FIXED ( 0 178468 ) E ;
- axi_resp_i[58] + NET axi_resp_i[58] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 180111 ) E ;
+ FIXED ( 0 180111 ) E ;
- axi_resp_i[57] + NET axi_resp_i[57] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 180068 ) E ;
+ FIXED ( 0 180068 ) E ;
- axi_resp_i[56] + NET axi_resp_i[56] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 188559 ) E ;
+ FIXED ( 0 188559 ) E ;
- axi_resp_i[55] + NET axi_resp_i[55] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 188463 ) E ;
+ FIXED ( 0 188463 ) E ;
- axi_resp_i[54] + NET axi_resp_i[54] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 187887 ) E ;
+ FIXED ( 0 187887 ) E ;
- axi_resp_i[53] + NET axi_resp_i[53] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 187791 ) E ;
+ FIXED ( 0 187791 ) E ;
- axi_resp_i[52] + NET axi_resp_i[52] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 188079 ) E ;
+ FIXED ( 0 188079 ) E ;
- axi_resp_i[51] + NET axi_resp_i[51] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 187359 ) E ;
+ FIXED ( 0 187359 ) E ;
- axi_resp_i[50] + NET axi_resp_i[50] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 187940 ) E ;
+ FIXED ( 0 187940 ) E ;
- axi_resp_i[49] + NET axi_resp_i[49] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 185391 ) E ;
+ FIXED ( 0 185391 ) E ;
- axi_resp_i[48] + NET axi_resp_i[48] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 185444 ) E ;
+ FIXED ( 0 185444 ) E ;
- axi_resp_i[47] + NET axi_resp_i[47] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 184959 ) E ;
+ FIXED ( 0 184959 ) E ;
- axi_resp_i[46] + NET axi_resp_i[46] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 184719 ) E ;
+ FIXED ( 0 184719 ) E ;
- axi_resp_i[45] + NET axi_resp_i[45] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 184239 ) E ;
+ FIXED ( 0 184239 ) E ;
- axi_resp_i[44] + NET axi_resp_i[44] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 187364 ) E ;
+ FIXED ( 0 187364 ) E ;
- axi_resp_i[43] + NET axi_resp_i[43] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 185967 ) E ;
+ FIXED ( 0 185967 ) E ;
- axi_resp_i[42] + NET axi_resp_i[42] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 182628 ) E ;
+ FIXED ( 0 182628 ) E ;
- axi_resp_i[41] + NET axi_resp_i[41] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 186639 ) E ;
+ FIXED ( 0 186639 ) E ;
- axi_resp_i[40] + NET axi_resp_i[40] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 183460 ) E ;
+ FIXED ( 0 183460 ) E ;
- axi_resp_i[39] + NET axi_resp_i[39] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 182703 ) E ;
+ FIXED ( 0 182703 ) E ;
- axi_resp_i[38] + NET axi_resp_i[38] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 186351 ) E ;
+ FIXED ( 0 186351 ) E ;
- axi_resp_i[37] + NET axi_resp_i[37] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 185199 ) E ;
+ FIXED ( 0 185199 ) E ;
- axi_resp_i[36] + NET axi_resp_i[36] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 185103 ) E ;
+ FIXED ( 0 185103 ) E ;
- axi_resp_i[35] + NET axi_resp_i[35] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 180447 ) E ;
+ FIXED ( 0 180447 ) E ;
- axi_resp_i[34] + NET axi_resp_i[34] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 195471 ) E ;
+ FIXED ( 0 195471 ) E ;
- axi_resp_i[33] + NET axi_resp_i[33] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 196239 ) E ;
+ FIXED ( 0 196239 ) E ;
- axi_resp_i[32] + NET axi_resp_i[32] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 196964 ) E ;
+ FIXED ( 0 196964 ) E ;
- axi_resp_i[31] + NET axi_resp_i[31] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 196772 ) E ;
+ FIXED ( 0 196772 ) E ;
- axi_resp_i[30] + NET axi_resp_i[30] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 196068 ) E ;
+ FIXED ( 0 196068 ) E ;
- axi_resp_i[29] + NET axi_resp_i[29] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 196143 ) E ;
+ FIXED ( 0 196143 ) E ;
- axi_resp_i[28] + NET axi_resp_i[28] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 190948 ) E ;
+ FIXED ( 0 190948 ) E ;
- axi_resp_i[27] + NET axi_resp_i[27] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 190143 ) E ;
+ FIXED ( 0 190143 ) E ;
- axi_resp_i[26] + NET axi_resp_i[26] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 189807 ) E ;
+ FIXED ( 0 189807 ) E ;
- axi_resp_i[25] + NET axi_resp_i[25] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 188847 ) E ;
+ FIXED ( 0 188847 ) E ;
- axi_resp_i[24] + NET axi_resp_i[24] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 189999 ) E ;
+ FIXED ( 0 189999 ) E ;
- axi_resp_i[23] + NET axi_resp_i[23] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 189183 ) E ;
+ FIXED ( 0 189183 ) E ;
- axi_resp_i[22] + NET axi_resp_i[22] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 189615 ) E ;
+ FIXED ( 0 189615 ) E ;
- axi_resp_i[21] + NET axi_resp_i[21] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 189988 ) E ;
+ FIXED ( 0 189988 ) E ;
- axi_resp_i[20] + NET axi_resp_i[20] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 190287 ) E ;
+ FIXED ( 0 190287 ) E ;
- axi_resp_i[19] + NET axi_resp_i[19] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 189279 ) E ;
+ FIXED ( 0 189279 ) E ;
- axi_resp_i[18] + NET axi_resp_i[18] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 183972 ) E ;
+ FIXED ( 0 183972 ) E ;
- axi_resp_i[17] + NET axi_resp_i[17] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 183759 ) E ;
+ FIXED ( 0 183759 ) E ;
- axi_resp_i[16] + NET axi_resp_i[16] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 182756 ) E ;
+ FIXED ( 0 182756 ) E ;
- axi_resp_i[15] + NET axi_resp_i[15] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 183183 ) E ;
+ FIXED ( 0 183183 ) E ;
- axi_resp_i[14] + NET axi_resp_i[14] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 184335 ) E ;
+ FIXED ( 0 184335 ) E ;
- axi_resp_i[13] + NET axi_resp_i[13] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 182799 ) E ;
+ FIXED ( 0 182799 ) E ;
- axi_resp_i[12] + NET axi_resp_i[12] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 177759 ) E ;
+ FIXED ( 0 177759 ) E ;
- axi_resp_i[11] + NET axi_resp_i[11] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 176943 ) E ;
+ FIXED ( 0 176943 ) E ;
- axi_resp_i[10] + NET axi_resp_i[10] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 183327 ) E ;
+ FIXED ( 0 183327 ) E ;
- axi_resp_i[9] + NET axi_resp_i[9] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 180452 ) E ;
+ FIXED ( 0 180452 ) E ;
- axi_resp_i[8] + NET axi_resp_i[8] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 177183 ) E ;
+ FIXED ( 0 177183 ) E ;
- axi_resp_i[7] + NET axi_resp_i[7] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 180351 ) E ;
+ FIXED ( 0 180351 ) E ;
- axi_resp_i[6] + NET axi_resp_i[6] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 180324 ) E ;
+ FIXED ( 0 180324 ) E ;
- axi_resp_i[5] + NET axi_resp_i[5] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 180196 ) E ;
+ FIXED ( 0 180196 ) E ;
- axi_resp_i[4] + NET axi_resp_i[4] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 180015 ) E ;
+ FIXED ( 0 180015 ) E ;
- axi_resp_i[3] + NET axi_resp_i[3] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 183087 ) E ;
+ FIXED ( 0 183087 ) E ;
- axi_resp_i[2] + NET axi_resp_i[2] + DIRECTION INPUT + USE SIGNAL
+ LAYER M4 ( -12 0 ) ( 12 334 )
+ PLACED ( 0 158463 ) E ;
+ FIXED ( 0 158463 ) E ;
- axi_resp_i[1] + NET axi_resp_i[1] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 158436 ) E ;
+ FIXED ( 0 158436 ) E ;
- axi_resp_i[0] + NET axi_resp_i[0] + DIRECTION INPUT + USE SIGNAL
+ LAYER M6 ( -16 0 ) ( 16 274 )
+ PLACED ( 0 184996 ) E ;
+ FIXED ( 0 184996 ) E ;
END PINS
END DESIGN
This source diff could not be displayed because it is too large. You can view the blob instead.
......@@ -3,6 +3,7 @@
set DESIGN ariane
set sdc ../../constraints/${DESIGN}.sdc
set rtldir ../../rtl
if {[info exist ::env(PHY_SYNTH)] && $::env(PHY_SYNTH) == 1} {
set floorplan_def ../../def/ariane136_fp_placed_macros.def
......@@ -18,5 +19,6 @@ set GEN_EFF medium
# possible values are : high, medium or low
set MAP_EFF high
#
set SITE "asap7sc7p5t"
set HALO_WIDTH 1
set TOP_ROUTING_LAYER 7
File mode changed from 100644 to 100755
......@@ -53,7 +53,7 @@ if {![info exist ::env(PHY_SYNTH)] || $::env(PHY_SYNTH) == 0} {
#################################################
# Load Design and Initialize
#################################################
set_db init_hdl_search_path $rtldir
source rtl_list.tcl
foreach rtl_file $rtl_all {
......
......@@ -12,8 +12,6 @@ set handoff_dir "./syn_handoff"
set netlist ${handoff_dir}/${DESIGN}.v
set sdc ${handoff_dir}/${DESIGN}.sdc
set site "asap7sc7p5t"
set rptDir summaryReport/
set encDir enc/
......@@ -58,7 +56,7 @@ generateVias
createBasicPathGroups -expanded
## Generate the floorplan ##
setFPlanMode -snapBlockGrid LayerTrack
if {[info exist ::env(PHY_SYNTH)] && $::env(PHY_SYNTH) == 1} {
defIn ${handoff_dir}/${DESIGN}.def
} else {
......
This source diff could not be displayed because it is too large. You can view the blob instead.
Here you run can run flow scripts
......@@ -6,7 +6,11 @@ set rtldir ../../../../../Testcases/mempool/rtl
set sdc ../../constraints/${DESIGN}.sdc
# def file with die size and placed IO pins
set floorplan_def ../../def/mempool_tile_wrap_fp.def
if {[info exist ::env(PHY_SYNTH)] && $::env(PHY_SYNTH) == 1} {
set floorplan_def ../../def/${DESIGN}_fp_placed_macros.def
} else {
set floorplan_def ../../def/${DESIGN}_fp.def
}
#
# Effort level during optimization in syn_generic -physical (or called generic) stage
# possible values are : high, medium or low
......@@ -15,3 +19,7 @@ set GEN_EFF medium
# Effort level during optimization in syn_map -physical (or called mapping) stage
# possible values are : high, medium or low
set MAP_EFF high
#
set SITE "asap7sc7p5t"
set HALO_WIDTH 1
set TOP_ROUTING_LAYER 7
# This script was written and developed by ABKGroup students at UCSD. However, the underlying commands and reports are copyrighted by Cadence.
# We thank Cadence for granting permission to share our research to help promote and foster the next generation of innovators.
create_library_set -name WC_LIB -timing $libworst
create_library_set -name BC_LIB -timing $libbest
#create_opcond -name op_cond_wc -process 1.0 -voltage 0.72 -temperature 125
#create_opcond -name op_cond_bc -process 1.0 -voltage 0.88 -temperature -40
create_timing_condition -name timing_wc -library_sets { WC_LIB }
create_timing_condition -name timing_bc -library_sets { BC_LIB }
create_rc_corner -name Cmax -qrc_tech $qrc_max
create_rc_corner -name Cmin -qrc_tech $qrc_min
create_delay_corner -name WC -early_timing_condition { timing_wc } \
-late_timing_condition { timing_wc } \
-early_rc_corner Cmax \
-late_rc_corner Cmax
create_delay_corner -name BC -early_timing_condition { timing_bc } \
-late_timing_condition { timing_bc } \
-early_rc_corner Cmin \
-late_rc_corner Cmin
create_constraint_mode -name CON -sdc_file $sdc
create_analysis_view -name WC_VIEW -delay_corner WC -constraint_mode CON
create_analysis_view -name BC_VIEW -delay_corner BC -constraint_mode CON
set_analysis_view -setup WC_VIEW -hold BC_VIEW
......@@ -6,6 +6,10 @@ module load genus/21.1
module unload innovus
module load innovus/21.1
#
# To run the Physical Synthesis (iSpatial) flow - flow2
export PHY_SYNTH=1
mkdir log -p
genus -overwrite -log log/genus.log -no_gui -files run_genus.tcl
innovus -64 -files run_invs.tcl -overwrite -log log/innovus.log
genus -overwrite -log log/genus.log -no_gui -files run_genus_hybrid.tcl
innovus -64 -overwrite -log log/innovus.log -files run_invs.tcl
# This script was written and developed by ABKGroup students at UCSD. However, the underlying commands and reports are copyrighted by Cadence.
# We thank Cadence for granting permission to share our research to help promote and foster the next generation of innovators.
source lib_setup.tcl
source design_setup.tcl
if {[info exist ::env(PHY_SYNTH)] && $::env(PHY_SYNTH) == 1} {
read_mmmc mmmc_iSpatial_setup.tcl
}
# set the output directories
set OUTPUTS_PATH syn_output
set REPORTS_PATH syn_rpt
set HANDOFF_PATH syn_handoff
if {![file exists ${OUTPUTS_PATH}]} {
file mkdir ${OUTPUTS_PATH}
}
if {![file exists ${REPORTS_PATH}]} {
file mkdir ${REPORTS_PATH}
}
if {![file exists ${HANDOFF_PATH}]} {
file mkdir ${HANDOFF_PATH}
}
#
# set threads
set_db max_cpus_per_server 16
set_db super_thread_servers "localhost"
#
set list_lib "$libworst"
if {[info exist ::env(PHY_SYNTH)] && $::env(PHY_SYNTH) == 1} {
set_db invs_temp_dir ${OUTPUTS_PATH}/invs_tmp_dir
read_physical -lefs $lefs
}
# Target library
set link_library $list_lib
set target_library $list_lib
# set pathi
set_db hdl_flatten_complex_port true
set_db hdl_record_naming_style %s_%s
set_db auto_ungroup none
if {![info exist ::env(PHY_SYNTH)] || $::env(PHY_SYNTH) == 0} {
set_db library $list_lib
}
#################################################
# Load Design and Initialize
#################################################
set_db init_hdl_search_path $rtldir
source rtl_list.tcl
foreach rtl_file $rtl_all {
read_hdl -language sv -define TARGET_SYNTHESIS -define XPULPIMG=1 $rtl_file
}
elaborate $DESIGN
time_info Elaboration
if {![info exist ::env(PHY_SYNTH)] || $::env(PHY_SYNTH) == 0} {
read_sdc $sdc
}
init_design
check_design -unresolved
check_timing_intent
# reports the physical layout estimation report from lef and QRC tech file
report_ple > ${REPORTS_PATH}/ple.rpt
###############################################
# Read DEF
###############################################
if {[info exist ::env(PHY_SYNTH)] && $::env(PHY_SYNTH) == 1} {
read_def $floorplan_def
check_floorplan -detailed
}
# keep hierarchy during synthesis
set_db auto_ungroup none
if {[info exist ::env(PHY_SYNTH)] && $::env(PHY_SYNTH) == 1} {
syn_generic -physical
} else {
syn_generic
write_hdl -generic > ${HANDOFF_PATH}/${DESIGN}_generic.v
}
time_info GENERIC
# generate a summary for the current stage of synthesis
write_reports -directory ${REPORTS_PATH} -tag generic
write_db ${OUTPUTS_PATH}/${DESIGN}_generic.db
if {[info exist ::env(PHY_SYNTH)] && $::env(PHY_SYNTH) == 1} {
syn_map -physical
} else {
syn_map
}
time_info MAPPED
# generate a summary for the current stage of synthesis
write_reports -directory ${REPORTS_PATH} -tag map
write_db ${OUTPUTS_PATH}/${DESIGN}_map.db
if {[info exist ::env(PHY_SYNTH)] && $::env(PHY_SYNTH) == 1} {
syn_opt -spatial
} else {
syn_opt
}
time_info OPT
write_db ${OUTPUTS_PATH}/${DESIGN}_opt.db
##############################################################################
# Write reports
##############################################################################
# summarizes the information, warnings and errors
report_messages > ${REPORTS_PATH}/${DESIGN}_messages.rpt
# generate PPA reports
report_gates > ${REPORTS_PATH}/${DESIGN}_gates.rpt
report_power > ${REPORTS_PATH}/${DESIGN}_power.rpt
report_area > ${REPORTS_PATH}/${DESIGN}_power.rpt
write_reports -directory ${REPORTS_PATH} -tag final
if {[info exist ::env(PHY_SYNTH)] && $::env(PHY_SYNTH) == 1} {
write_design -innovus -base_name ${HANDOFF_PATH}/${DESIGN}
} else {
write_sdc >${HANDOFF_PATH}/${DESIGN}.sdc
write_hdl > ${HANDOFF_PATH}/${DESIGN}.v
}
exit
......@@ -7,12 +7,10 @@ source mmmc_setup.tcl
setMultiCpuUsage -localCpu 16
set util 0.3
#set netlist "../../netlist/$DESIGN.v"
#set sdc "../../constraints/$DESIGN.sdc"
set netlist "./syn_handoff/$DESIGN.v"
set sdc "./syn_handoff/$DESIGN.sdc"
set handoff_dir "./syn_handoff"
set site "asap7sc7p5t"
set netlist ${handoff_dir}/${DESIGN}.v
set sdc ${handoff_dir}/${DESIGN}.sdc
set rptDir summaryReport/
set encDir enc/
......@@ -58,52 +56,26 @@ generateVias
createBasicPathGroups -expanded
## Generate the floorplan ##
#floorPlan -r 1.0 $util 10 10 10 10
defIn $floorplan_def
## Macro Placement ##
#redirect mp_config.tcl {source gen_mp_config.tcl}
#proto_design -constraints mp_config.tcl
addHaloToBlock -allMacro 1 1 1 1
setFPlanMode -snapBlockGrid LayerTrack
place_design -concurrent_macros
refine_macro_place
snapFPlan -pin
saveDesign ${encDir}/${DESIGN}_floorplan.enc
## Creating Pin Blcokage for lower and upper pin layers ##
createPinBlkg -name Layer_1 -layer {M2 M3 M7 M8 M9 Pad} -edge 0
createPinBlkg -name side_top -edge 1
createPinBlkg -name side_right -edge 2
createPinBlkg -name side_bottom -edge 3
setPlaceMode -place_detail_legalization_inst_gap 1
setFillerMode -fitGap true
setNanoRouteMode -routeTopRoutingLayer 7
setNanoRouteMode -routeBottomRoutingLayer 2
setNanoRouteMode -drouteVerboseViolationSummary 1
setNanoRouteMode -routeWithSiDriven true
setNanoRouteMode -routeWithTimingDriven true
setNanoRouteMode -routeExpUseAutoVia true
#setPlaceMode -placeIoPins true
if {[info exist ::env(PHY_SYNTH)] && $::env(PHY_SYNTH) == 1} {
defIn ${handoff_dir}/${DESIGN}.def
} else {
defIn $floorplan_def
addHaloToBlock -allMacro $HALO_WIDTH $HALO_WIDTH $HALO_WIDTH $HALO_WIDTH
place_design -concurrent_macros
refine_macro_place
snapFPlan -pin
}
place_opt_design -out_dir $rptDir -prefix place
saveDesign $encDir/${DESIGN}_placed.enc
saveDesign ${encDir}/${DESIGN}_floorplan.enc
setPlaceMode -place_detail_legalization_inst_gap 1
setFillerMode -fitGap true
setNanoRouteMode -routeTopRoutingLayer 7
setNanoRouteMode -routeBottomRoutingLayer 2
setNanoRouteMode -drouteVerboseViolationSummary 1
setNanoRouteMode -routeWithSiDriven true
setNanoRouteMode -routeWithTimingDriven true
setNanoRouteMode -routeExpUseAutoVia true
setPlaceMode -placeIoPins true
setDesignMode -topRoutingLayer $TOP_ROUTING_LAYER
setDesignMode -bottomRoutingLayer 2
place_opt_design -out_dir $rptDir -prefix place
saveDesign $encDir/${DESIGN}_placed.enc
defOut -netlist -floorplan ${DESIGN}_placed.def
set_ccopt_property post_conditioning_enable_routing_eco 1
set_ccopt_property -cts_def_lock_clock_sinks_after_routing true
......@@ -119,12 +91,10 @@ set_clock_propagation propagated
# ------------------------------------------------------------------------------
# Routing
# ------------------------------------------------------------------------------
setNanoRouteMode -routeTopRoutingLayer 7
setNanoRouteMode -routeBottomRoutingLayer 2
setNanoRouteMode -drouteVerboseViolationSummary 1
setNanoRouteMode -routeWithSiDriven true
setNanoRouteMode -routeWithTimingDriven true
setNanoRouteMode -routeExpUseAutoVia true
setNanoRouteMode -routeUseAutoVia true
##Recommended by lib owners
# Prevent router modifying M1 pins shapes
......@@ -133,7 +103,6 @@ setNanoRouteMode -routeWithViaOnlyForStandardCellPin "1:1"
## limit VIAs to ongrid only for VIA1 (S1)
setNanoRouteMode -drouteOnGridOnly "via 1:1"
setNanoRouteMode -dbCheckRule true
setNanoRouteMode -drouteAutoStop false
setNanoRouteMode -drouteExpAdvancedMarFix true
setNanoRouteMode -routeExpAdvancedTechnology true
......@@ -141,25 +110,11 @@ setNanoRouteMode -routeExpAdvancedTechnology true
#SM suggestion for solving long extraction runtime during GR
setNanoRouteMode -grouteExpWithTimingDriven false
routeDesign
#route_opt_design
saveDesign ${encDir}/${DESIGN}_route.enc
defOut -netlist -floorplan -routing ${DESIGN}_route.def
setDelayCalMode -reset
setDelayCalMode -SIAware true
setExtractRCMode -engine postRoute -coupled true -tQuantusForPostRoute false
setAnalysisMode -analysisType onChipVariation -cppr both
# routeOpt
#optDesign -postRoute -setup -hold -prefix postRoute -expandedViews
source fix_route_drc.tcl
#extractRC
deselectAll
selectNet -clock
reportSelect > summaryReport/clock_net_length.post_route
deselectAll
summaryReport -noHtml -outfile summaryReport/post_route.sum
saveDesign ${encDir}/${DESIGN}.enc
defOut -netlist -floorplan -routing ${DESIGN}.def
......
This source diff could not be displayed because it is too large. You can view the blob instead.
You can run the flow scripts here
......@@ -3,11 +3,14 @@
set DESIGN NV_NVDLA_partition_c
set sdc ../../constraints/${DESIGN}.sdc
set rtldir ../../../../../Testcases/nvdla/rtl
# def file with die size and placed IO pins
set floorplan_def ../../def/${DESIGN}_fp.def
set rtl_path ../../../../../Testcases/nvdla/rtl/
if {[info exist ::env(PHY_SYNTH)] && $::env(PHY_SYNTH) == 1} {
set floorplan_def ../../def/${DESIGN}_fp_placed_macros.def
} else {
set floorplan_def ../../def/${DESIGN}_fp.def
}
#
# Effort level during optimization in syn_generic -physical (or called generic) stage
......@@ -17,3 +20,7 @@ set GEN_EFF medium
# Effort level during optimization in syn_map -physical (or called mapping) stage
# possible values are : high, medium or low
set MAP_EFF high
#
set SITE "asap7sc7p5t"
set HALO_WIDTH 2
set TOP_ROUTING_LAYER 7
......@@ -6,6 +6,10 @@ module load genus/21.1
module unload innovus
module load innovus/21.1
#
# To run the Physical Synthesis (iSpatial) flow - flow2
export PHY_SYNTH=1
mkdir log -p
genus -overwrite -log log/genus.log -no_gui -files run_genus_iSpatial.tcl
innovus -64 -files run_invs.tcl -overwrite -log log/innovus.log
genus -overwrite -log log/genus.log -no_gui -files run_genus_hybrid.tcl
innovus -64 -overwrite -log log/innovus.log -files run_invs.tcl
# This script was written and developed by ABKGroup students at UCSD. However, the underlying commands and reports are copyrighted by Cadence.
# We thank Cadence for granting permission to share our research to help promote and foster the next generation of innovators.
source lib_setup.tcl
source design_setup.tcl
if {[info exist ::env(PHY_SYNTH)] && $::env(PHY_SYNTH) == 1} {
read_mmmc mmmc_iSpatial_setup.tcl
}
# set the output directories
set OUTPUTS_PATH syn_output
set REPORTS_PATH syn_rpt
set HANDOFF_PATH syn_handoff
if {![file exists ${OUTPUTS_PATH}]} {
file mkdir ${OUTPUTS_PATH}
}
if {![file exists ${REPORTS_PATH}]} {
file mkdir ${REPORTS_PATH}
}
if {![file exists ${HANDOFF_PATH}]} {
file mkdir ${HANDOFF_PATH}
}
#
# set threads
set_db max_cpus_per_server 16
set_db super_thread_servers "localhost"
#
set list_lib "$libworst"
if {[info exist ::env(PHY_SYNTH)] && $::env(PHY_SYNTH) == 1} {
set_db invs_temp_dir ${OUTPUTS_PATH}/invs_tmp_dir
read_physical -lefs $lefs
}
# Target library
set link_library $list_lib
set target_library $list_lib
# set pathi
set_db hdl_flatten_complex_port true
set_db hdl_record_naming_style %s_%s
set_db auto_ungroup none
if {![info exist ::env(PHY_SYNTH)] || $::env(PHY_SYNTH) == 0} {
set_db library $list_lib
}
#################################################
# Load Design and Initialize
#################################################
set_db init_hdl_search_path $rtldir
read_hdl -f rtl_list.tcl
#source rtl_list.tcl
#
#foreach rtl_file $rtl_all {
# read_hdl -sv $rtl_file
#}
elaborate $DESIGN
time_info Elaboration
if {![info exist ::env(PHY_SYNTH)] || $::env(PHY_SYNTH) == 0} {
read_sdc $sdc
}
init_design
check_design -unresolved
check_timing_intent
# reports the physical layout estimation report from lef and QRC tech file
report_ple > ${REPORTS_PATH}/ple.rpt
###############################################
# Read DEF
###############################################
if {[info exist ::env(PHY_SYNTH)] && $::env(PHY_SYNTH) == 1} {
read_def $floorplan_def
check_floorplan -detailed
}
# keep hierarchy during synthesis
set_db auto_ungroup none
if {[info exist ::env(PHY_SYNTH)] && $::env(PHY_SYNTH) == 1} {
syn_generic -physical
} else {
syn_generic
}
time_info GENERIC
# generate a summary for the current stage of synthesis
write_reports -directory ${REPORTS_PATH} -tag generic
write_db ${OUTPUTS_PATH}/${DESIGN}_generic.db
if {[info exist ::env(PHY_SYNTH)] && $::env(PHY_SYNTH) == 1} {
syn_map -physical
} else {
syn_map
}
time_info MAPPED
# generate a summary for the current stage of synthesis
write_reports -directory ${REPORTS_PATH} -tag map
write_db ${OUTPUTS_PATH}/${DESIGN}_map.db
if {[info exist ::env(PHY_SYNTH)] && $::env(PHY_SYNTH) == 1} {
syn_opt -spatial
} else {
syn_opt
}
time_info OPT
write_db ${OUTPUTS_PATH}/${DESIGN}_opt.db
##############################################################################
# Write reports
##############################################################################
# summarizes the information, warnings and errors
report_messages > ${REPORTS_PATH}/${DESIGN}_messages.rpt
# generate PPA reports
report_gates > ${REPORTS_PATH}/${DESIGN}_gates.rpt
report_power > ${REPORTS_PATH}/${DESIGN}_power.rpt
report_area > ${REPORTS_PATH}/${DESIGN}_power.rpt
write_reports -directory ${REPORTS_PATH} -tag final
if {[info exist ::env(PHY_SYNTH)] && $::env(PHY_SYNTH) == 1} {
write_design -innovus -base_name ${HANDOFF_PATH}/${DESIGN}
} else {
write_sdc >${HANDOFF_PATH}/${DESIGN}.sdc
write_hdl > ${HANDOFF_PATH}/${DESIGN}.v
}
exit
......@@ -7,10 +7,10 @@ source mmmc_setup.tcl
setMultiCpuUsage -localCpu 16
set util 0.3
set netlist "./syn_handoff/$DESIGN.v"
set sdc "./syn_handoff/$DESIGN.sdc"
set handoff_dir "./syn_handoff"
set site "asap7sc7p5t"
set netlist ${handoff_dir}/${DESIGN}.v
set sdc ${handoff_dir}/${DESIGN}.sdc
set rptDir summaryReport/
set encDir enc/
......@@ -56,28 +56,22 @@ generateVias
createBasicPathGroups -expanded
## Generate the floorplan ##
#floorPlan -r 1.0 $util 10 10 10 10
defIn $floorplan_def
## Macro Placement ##
#redirect mp_config.tcl {source gen_mp_config.tcl}
#proto_design -constraints mp_config.tcl
addHaloToBlock -allMacro 1 1 1 1
setFPlanMode -snapBlockGrid LayerTrack
place_design -concurrent_macros
refine_macro_place
snapFPlan -pin
saveDesign ${encDir}/${DESIGN}_floorplan.enc
if {[info exist ::env(PHY_SYNTH)] && $::env(PHY_SYNTH) == 1} {
defIn ${handoff_dir}/${DESIGN}.def
} else {
defIn $floorplan_def
addHaloToBlock -allMacro $HALO_WIDTH $HALO_WIDTH $HALO_WIDTH $HALO_WIDTH
place_design -concurrent_macros
refine_macro_place
snapFPlan -pin
}
## Creating Pin Blcokage for lower and upper pin layers ##
createPinBlkg -name Layer_1 -layer {M2 M3 M7 M8 M9 Pad} -edge 0
createPinBlkg -name side_top -edge 1
createPinBlkg -name side_right -edge 2
createPinBlkg -name side_bottom -edge 3
saveDesign ${encDir}/${DESIGN}_floorplan.enc
setPlaceMode -place_detail_legalization_inst_gap 1
setFillerMode -fitGap true
setDesignMode -topRoutingLayer 7
setDesignMode -topRoutingLayer $TOP_ROUTING_LAYER
setDesignMode -bottomRoutingLayer 2
place_opt_design -out_dir $rptDir -prefix place
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment